CN110475906A - 均一沉积 - Google Patents

均一沉积 Download PDF

Info

Publication number
CN110475906A
CN110475906A CN201780089178.XA CN201780089178A CN110475906A CN 110475906 A CN110475906 A CN 110475906A CN 201780089178 A CN201780089178 A CN 201780089178A CN 110475906 A CN110475906 A CN 110475906A
Authority
CN
China
Prior art keywords
entrance
precursor chemistry
precursor
reaction compartment
chemistry
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CN201780089178.XA
Other languages
English (en)
Other versions
CN110475906B (zh
Inventor
T·马利南
J·科斯塔莫
M·普达斯
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Picosun Oy
Original Assignee
Picosun Oy
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Picosun Oy filed Critical Picosun Oy
Publication of CN110475906A publication Critical patent/CN110475906A/zh
Application granted granted Critical
Publication of CN110475906B publication Critical patent/CN110475906B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45502Flow conditions in reaction chamber
    • C23C16/45508Radial flow
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45544Atomic layer deposition [ALD] characterized by the apparatus
    • C23C16/45548Atomic layer deposition [ALD] characterized by the apparatus having arrangements for gas injection at different locations of the reactor for each ALD half-reaction
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45553Atomic layer deposition [ALD] characterized by the use of precursors specially adapted for ALD
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/52Controlling or regulating the coating process

Landscapes

  • Chemical & Material Sciences (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Engineering & Computer Science (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

基板处理装置的反应室包含反应空间。至少三个侧向化学物入口各自从不同的方向指向反应空间的中心区域,至少三个侧向化学物入口中的每个侧向化学物入口提供第一前体化学物到反应空间的独立可关闭路线。

Description

均一沉积
技术领域
本发明大体上涉及基板处理方法和装置,具体涉及化学沉积方法和沉积反应器。更具体地,但不排他地,本发明涉及通过原子层沉积(ALD)的均一沉积。
背景技术
本节说明有用的背景信息,而未认可代表现有技术的本文中所描述的任何技术。
ALD被称为用于各种基板(尤其是用于硅晶片)的保形沉积方法。然而,即使对于ALD,朝向450mm的晶片尺寸来提高晶片尺寸和需要的低非均一性也设置了挑战。该挑战对前体化学物的要求更高,前体化学物具有有限的沉积窗口、有限的稳定性或者反应性副产物。
US 20130210238 A1提出了一种ALD方法,在该ALD方法中,沉积均一性是通过旋转承载基板的保持器来增强的。然而,这可能会造成不必要的杂质颗粒的生成,并且需要昂贵的工具设计。
发明内容
本发明实施例的一个目的是提供一种能够产生均一性改善的ALD涂层的方法和装置,或者至少提供现有技术的一种替代方案。
根据本发明的第一示例方面,提供了一种方法,该方法包括:
在基板处理装置中提供反应室,该反应室具有反应空间;以及
提供至少三个侧向前体化学物入口,该至少三个侧向前体化学物入口各自从不同的方向指向反应空间的中心区域,至少三个侧向前体化学物入口中的每个侧向前体化学物入口提供第一前体化学物到反应空间的独立可关闭路线。
因此,在某些示例实施例中,向同一种前体化学物提供从至少三个不同侧向方向的入口。在某些示例实施例中,侧向前体化学物入口竖直地定位在相同水平处。
在某些示例实施例中,侧向前体化学物入口是至少部分侧向的。当侧向前体化学物入口的指向方向具有侧向分量时,它是至少部分侧向的。指向方向可以是水平方向、或者相对于水平方向具有非零角的方向。
在某些示例实施例中,至少三个入口的指向方向中的三个指向方向是相互部分相反的(或者它们的侧向分量是相互部分相反)。
在某些示例实施例中,每个侧向前体化学物入口有其自己的馈入管,并且每个馈入管有其自己的脉冲阀。因此,个体馈入管将第一前体化学物提供给相应入口,并且脉冲阀附接至每个个体馈入管。在某些示例实施例中,脉冲阀是三通阀。第一前体化学物沿馈入管流到相应入口。在某些示例实施例中,第一前体蒸气到相应入口的流动由脉冲阀打开和关闭。在某些示例实施例中,脉冲阀由控制系统控制。在某些示例实施例中,脉冲阀包括两个输入端口和一个输出端口。输入端口中的一个输入端口与第一前体化学物的前体源容器流体连通。另一输入端口与惰性气体源流体连通。在某些示例实施例中,控制系统控制在每个时间段哪个/哪些输入端口连接至输出端口。在某些示例实施例中,在第一前体化学物的前体化学物脉冲周期期间,控制系统通过操作脉冲阀来控制第一前体化学物仅仅经由所选的侧向前体化学物入口流入到反应空间中。
在某些示例实施例中,反应空间具有一般形式的柱形。在某些示例实施例中,围绕竖直中心线的反应空间虚拟地被分成三个相等的扇区,并且第一前体化学物的至少一个入口被放置在扇区中的每个扇区中。
在某些示例实施例中,提供第一前体化学物到反应空间的可关闭路线的入口的数量为四个、五个、六个、七个、八个或者九个入口。在某些示例实施例中,入口关于反应空间对称放置。
在某些示例实施例中,入口中的至少三个入口被均匀分布在圆周上,对称地包围中心区域。
在某些示例实施例中,第一前体化学物一次从一个方向脉冲进入反应空间中。在某些示例实施例中,在脉冲周期期间,或者在相同前体化学物(即,第一前体化学物)的连续的脉冲周期之间,改变脉冲方向。在某些示例实施例中,第一前体化学物一次从两个、三个、四个或者更多方向脉冲进入反应空间中。
在某些示例实施例中,第一前体化学物一次仅仅经由一个入口进入反应空间。在某些示例实施例中,方法包括:
-打开第一前体化学物经由第一侧向前体化学物入口从第一方向到反应空间中的路线,使得第一前体化学物在第一时间段期间经由该入口流入到反应空间中;并且防止第一前体化学物在该第一时间段期间经由其他入口流入到反应空间中;
-打开第一前体化学物经由第二侧向前体化学物入口从与第一方向不同的第二方向到反应空间中的路线,使得第一前体化学物在第二时间段期间经由该入口流入到反应空间中;并且防止第一前体化学物在该第二时间段期间经由其他入口流入到反应空间中;以及
-打开第一前体化学物经由第三侧向前体化学物入口从与第一方向和第二方向两者都不同的第三方向到反应空间中的路线,使得第一前体化学物在第三时间段期间经由该入口流入到反应空间中;并且防止第一前体化学物在该第三时间段期间经由其他入口流入到反应空间中。
在某些示例实施例中,当存在在四个方向上的用于相同前体化学物的侧向前体化学物入口时,针对四个方向类似地执行对应打开和防止步骤。类似地,如果存在用于相同前体化学物的不止四个侧向前体化学物入口,则按照需要针对每个方向类似地执行打开和关闭步骤。
在某些示例实施例中,前体化学物的数量为两种。在某些示例实施例中,第一前体化学物(即,反应性化学物)首先从多个方向被脉冲,其中方向可以是两个、三个或者更多。第一前体化学物一次从一个方向或者一次从多个方向被脉冲。然后,第二前体化学物被脉冲。第二前体化学物从一个方向或者从多个方向被脉冲,其中方向可以是两个、三个或者更多。第二前体化学物一次从一个方向或者一次从多个方向被脉冲。在某些其他实施例中,在第一前体化学物从一个方向被脉冲之后,立即脉冲第二前体化学物,并且在此之后第一前体立即被脉冲。脉冲可以可选地由清除步骤分离。在又一些其他实施例中,执行呈现的脉冲替代方案的组合。
在某些其他实施例中,例如当应用光增强或者等离子体增强工艺时,前体化学物的数量为一种。在某些其他实施例中,前体化学物的数量为三种或者更多。
侧向前体化学物入口可以径向指向反应空间的中心区域。不同化学物的入口可以定位成使得它们在相同竖直水平处交替,或者它们可以位于不同的高度处,例如使得第二前体化学物的入口在第一前体化学物的入口上方。
在某些示例实施例中,方法包括由固定基板保持器承载基板。在某些示例实施例中,方法包括由固定基板保持器承载多个基板。多个基板可以水平定向为在彼此顶部上的堆叠,或者水平定向为在相同水平彼此相邻,或者竖直定向为彼此平行。
在某些示例实施例中,基板是平面的,即2维(2D)对象。在某些示例实施例中,基板是3D对象。在某些示例实施例中,基板包括多个2D和/或3D对象。
在某些示例实施例中,方法包括:
由固定基板保持器承载基板;以及
通过以预定方式打开和关闭个体入口,向基板提供与旋转基板相当的效果。
在某些示例实施例中,方法包括:
提供第一前体化学物从反应室的侧面到基板表面上的传入流、和在通过基板的边缘之后向下排出的外出流。
在某些示例实施例中,方法包括提供如下的第一前体化学物的流:从反应室的侧面,沿基板表面,并且在已经通过基板的边缘时向下转向以排出。
在某些示例实施例中,侧向前体化学物入口位于高于基板保持器的(最高)基板保持水平的水平。当存在不同水平(高度)处的多个水平定向的基板时,在某些示例实施例中,在高于最高基板保持水平的水平和低于最高基板保持水平的水平两者,设置第一前体化学物的侧向前体化学物入口。
在某些示例实施例中,方法包括:
加热流入到反应空间中的至少一种化学物。
在某些示例实施例中,方法包括:
提供外室,该外室包围反应室,从而关闭反应室与外室之间的中间空间。
在某些示例实施例中,中间空间被加热。在某些示例实施例中,流到反应空间的至少一种化学物被加热(在中间空间中或者单独地)。
在某些示例实施例中,方法包括:
提供外室,该外室包围反应室,从而关闭反应室与外室之间的中间空间;以及
经由中间空间朝向反应室来引导化学物馈入管(馈入管具有在馈入管的端部处的入口)。
在某些示例实施例中,方法包括:
向反应空间提供对称气流分布。
在某些示例实施例中,方法包括:
当在沉积循环中从一个步骤进行到另一步骤(例如,从前体脉冲到清除)时,保持反应室中的流体(或者气流)动力学不变。
在某些示例实施例中,基板保持器对称放置在反应室或者反应空间的侧向中心区域中。在某些示例实施例中,排出线放置在反应室的底部部分中。在某些示例实施例中,因为对称的原因,排出线或者气体去除对基板保持器或者基板周围的每个地方的气流产生相等的效果。
在某些示例实施例中,第一前体化学物利用单独的脉冲阀连接至不止一个反应室入口(所述侧向化学物入口)。脉冲阀由控制系统单独地(或者独立地)控制或者致动。在某些示例实施例中,另一前体化学物也连接至不止一个反应室入口。这些入口也可以由单独的入口阀独立控制。
在某些示例实施例中,在反应室中一次处理单个基板。例如,基板可以是具有300mm或者450mm的直径的晶片,诸如硅晶片。
在某些示例实施例中,方法包括向反应空间中的基板或者多个基板提供顺序自饱和表面反应。在某些示例实施例中,方法包括在不旋转基板的情况下执行时间原子层沉积,与空间ALD形成对比。在某些示例实施例中,时间原子层沉积是一次对基板的整个表面执行的,而未将基板表面分成多个反应区。在某些示例实施例中,方法包括操作热壁反应室。在某些示例实施例中,所使用的沉积技术是化学气相沉积(CVD)。
根据本发明的第二示例方面,提供了一种装置,该装置包括:
反应室,该反应室具有反应空间;以及
至少三个侧向前体化学物入口,该至少三个侧向前体化学物入口各自从不同的方向指向反应空间的中心区域,至少三个侧向前体化学物入口中的每个侧向前体化学物入口提供第一前体化学物到反应空间的独立可关闭路线。
在某些示例实施例中,至少三个入口的指向方向中的三个指向方向是相互部分相反的。
在某些示例实施例中,提供第一前体化学物到反应空间的可关闭路线的入口的数量为四个、五个、六个、七个、八个或者九个入口。
在某些示例实施例中,入口中的至少三个入口被均匀分布在圆周上,对称地包围中心区域。
在某些示例实施例中,装置包括:
固定基板保持器,该固定基板保持器被配置为承载基板;以及
控制系统,该控制系统被配置为以预定方式打开和关闭个体入口,以向基板提供与旋转基板相当的效果。
在某些示例实施例中,第一前体化学物的化学物馈入线分岔成第一、第二和第三馈入管,该第一、第二和第三馈入管延伸到相应的第一、第二和第三侧向前体化学物入口,该第一、第二和第三侧向前体化学物入口从所述不同的方向指向反应空间。在某些示例实施例中,装置包括独立控制的脉冲阀,该独立控制的脉冲阀位于第一、第二和第三馈入管中的每个馈入管中。
在某些示例实施例中,源于前体化学物源(或者容器)的一条前体化学物馈入线分成多个前体化学物馈入管,例如3个、4个、5个、6个等馈入管,该多个前体化学物馈入管通入到多个侧向前体化学物入口中。在某些示例实施例中,每个馈入管有其自己的脉冲阀。在某些示例实施例中,每个脉冲阀在与其他脉冲阀不同的时间被脉冲(使得它使前体化学物通过)。
在某些示例实施例中,装置包括:
加热器,该加热器被配置为加热流入到反应空间中的至少一种化学物。
在某些示例实施例中,装置包括:
外室,该外室包围反应室,从而关闭反应室与外室之间的中间空间;以及
化学物馈入管,该化学物馈入管经由中间空间朝向反应室被引导。
在某些示例实施例中,装置包括:
控制系统,该控制系统被配置为在沉积循环中从一个步骤进行到另一步骤时,保持反应室中的流体动力学不变。
在某些示例实施例中,运载与其他化学物馈入管中的至少一个化学物馈入管相同的前体化学物的每个化学物馈入管具有单独的脉冲阀,以将前体化学物或者前体蒸气释放到反应室中,作为开/关脉冲,或者作为载体气体流的脉冲。
在某些示例实施例中,从侧向前体化学物入口释放的气体是独自的反应性气体,在其他实施例中,是与载体气体混合的反应性气体。
在某些示例实施例中,同一馈入管将两种或者至少两种前体化学物(反应性化学物)馈送到反应空间中。在某些示例实施例中,源于两个单独的前体化学物源(或者容器)的两种前体化学物被馈送到多个(即,至少两个或者至少三个)馈入管中,该多个馈入管各自具有其自己的脉冲阀,馈入管通向相应的侧向前体化学物入口。
在某些示例实施例中,装置包括热壁反应室。在某些示例实施例中,装置包括加热空间,该加热空间被密封以免受反应室壁的两侧的周围空气的影响。
根据本发明的第三示例方面,提供了一种方法,该方法包括:
在基板处理装置中提供反应室,该反应室具有反应空间;以及
提供两个侧向前体化学物入口,该两个侧向前体化学物入口从不同的方向指向反应空间的中心区域,每个侧向前体化学物入口提供第一前体化学物到反应空间的独立可关闭路线。
在某些示例实施例中,两个入口的指向方向是彼此部分相反或者彼此直接相反的。
在某些示例实施例中,第一前体经由第一侧向前体化学物入口释放到反应空间中,并且随后,在相同的基板处理序列期间,相同的第一前体化学物经由不同的侧向前体化学物入口从另一方向释放到反应空间中。
根据本发明的第四示例方面,提供了一种装置,该装置包括:
反应室,该反应室具有反应空间;以及
两个侧向前体化学物入口,该两个侧向前体化学物入口从不同的方向指向反应空间的中心区域,每个侧向前体化学物入口提供第一前体化学物到反应空间的独立可关闭路线。
在某些示例实施例中,源于前体化学物源(或者容器)的一条前体化学物馈入线分成两个馈入管,该两个馈入管中的一个馈入管通向两个侧向前体化学物入口中的第一入口,并且该两个馈入管中的另一馈入管通向两个侧向前体化学物入口中的第二入口。在某些示例实施例中,两个馈入管都有其自己的脉冲阀。在某些示例实施例中,每个脉冲阀在与另一脉冲阀不同的时间被脉冲(使得它使前体化学物通过)。
结合具有至少三个侧向前体化学物入口的实施例呈现的内容也可适用于使用两个侧向前体化学物入口的实施例。
在前面已经说明了本发明的无约束力的不同示例方面和实施例。上面的实施例只是用来阐释可以在本发明的实施方式中利用的所选方面或者步骤。一些实施例可以仅仅参考本发明的某些示例方面来呈现。应当理解,对应实施例也适用于其他示例方面。可以形成实施例的任何适当的组合。
附图说明
现在将参照附图仅以示例的方式描述本发明,在附图中:
图1示出了提供根据本发明的某些示例实施例的侧向前体化学物入口的示意图;
图2示出了提供根据本发明的某些示例实施例的另外的入口的示意图;
图3示出了直接相反和部分相反的方向;
图4示出了根据本发明的某些示例实施例的基板处理装置的示意侧视图;
图5示出了根据本发明的某些其他示例实施例的基板处理装置的示意侧视图;以及
图6示出了根据本发明的某些示例实施例的方法的流程图。
具体实施方式
在下面的描述中,使用原子层沉积(ALD)技术作为一个示例。然而,本发明并不限于ALD技术,而是它可以被用于多种多样的沉积装置,例如,化学气相沉积(CVD)反应器。
ALD生长机制的基本知识是技术人员已知的。ALD是一种特殊化学沉积方法,其基于将至少两个反应性前体物种顺序引入到至少一个基板。然而,要理解,当使用例如光增强ALD或者等离子体辅助ALD(例如PEALD)时,这些反应性前体之一可以被能量代替,导致单个前体ALD工艺。例如,纯元素(诸如,金属)的沉积只需要一种前体。当前体化学物包含要被沉积的二元材料的两种元素时,二元化合物(诸如,氧化物)可以用一种前体化学物来生成。通过ALD生长的薄膜是致密的、无针孔的并且具有均一厚度。
至少一个基板通常暴露于反应器皿中的时间上分离的前体脉冲,以通过顺序自饱和表面反应将材料沉积在基板表面上。在本申请的上下文中,术语ALD包括所有适用的基于ALD的技术和任何等效的或者密切相关的技术,诸如,例如下面的ALD子类型:MLD(分子层沉积)等离子体辅助ALD,例如PEALD(等离子体增强原子层沉积)和光增强原子层沉积(还被称为闪光增强ALD)。
基本ALD沉积循环由四个顺序步骤组成:脉冲A、清除A、脉冲B和清除B。脉冲A由第一前体蒸气组成,而脉冲B由另一前体蒸气组成。惰性气体和真空泵通常用于在清除A和清除B期间,从反应空间中清除气体反应副产物和残余反应物分子。沉积序列包括至少一个沉积循环。沉积循环被重复,直到沉积序列已经产生期望厚度的薄膜或者涂层为止。沉积循环还可以更简单或者更复杂。例如,循环可以包括由清除步骤分离的三个或更多反应物蒸气脉冲,或者某些清除步骤可以省略。所有这些沉积循环形成定时的沉积序列,该定时的沉积序列由逻辑单元或者微处理器控制。
反应空间是反应室内的限定体积。期望的化学反应发生在反应空间中。基本ALD引进工具通常被称为喷头,通过该基本ALD引进工具,化学物流入到反应空间中。前体化学物可以从顶部或者横流引进,在横流的情况下,化学物从至少一个侧面引进。
图1示出了提供根据本发明的某些示例实施例的侧向前体化学物入口的示意图。
基板101位于反应空间(图1中未示出)内的中心,基板101可以是晶片,诸如硅晶片。
三个侧向入口131、132和133已经定位为各自从不同的方向指向反应空间(和/或基板101)的中心区域。指向方向相互部分相反。图3示出了与方向300直接相反和部分相反的方向。用虚线绘制的方向表示与方向300部分相反的方向,然而,用实线绘制的方向表示与方向300直接相反的方向。与方向300部分相反的方向具有与方向300直接相反的分量和垂直于方向300的分量两者。
图1中的入口131至133关于反应空间(和/或基板101)对称放置。它们被均匀分布在圆周上,对称地包围中心区域。因此,图1中的入口131至133的角间距是120度。
第一前体化学物连接至入口131至133中的每个入口。每个入口131、132和133提供第一前体化学物到反应空间的可关闭路线,使得期望的表面反应可以发生在基板表面处。
入口位于对应馈入管的端部。在某些示例实施例中,第一前体化学物一次仅经由一个入口进入反应空间。因此,在第一前体化学物的第一脉冲周期期间,第一前体化学物在某些示例实施例中通过第一入口131进入,并且在相同前体化学物的之后的脉冲周期期间通过第二入口132进入。可替代地,在第一前体化学物的第一脉冲周期期间,第一前体化学物首先通过第一入口131进入,但是在同一脉冲周期期间,第一前体化学物的引进切换为通过第二入口132发生。
第一前体化学物可以与载体气体一起被引进到反应空间中,该载体气体通常是惰性气体。在某些示例实施例中,当第一前体化学物在有或者没有载体气体的情况下通过一个入口131至133进入反应空间时,不具有第一前体化学物的载体气体或者惰性气体通过剩余的入口131至133流入反应空间中。
入口131至133中的每个入口可以独立控制,例如由位于对应馈入线中的单独脉冲阀(图1中未示出)来控制。在某些示例实施例中,独立控制包括控制经由每个入口131至133的传入流体的流速和化学组成,以改善沉积均一性。在某些示例实施例中,单独的脉冲阀允许
-惰性载体气体和第一前体化学物的混合物(或者第一前体化学物独自的,即,没有载体气体),或者
-没有第一前体化学物的惰性载体气体
一次通过对应入口流入到反应空间中。在某些示例实施例中,反应空间设置有围绕竖直对称轴的对称气流分布。
如提到的,传统的ALD工艺包含前体化学物A和B的顺序脉冲的重复循环,前体化学物A和B诸如为三甲基铝(TMA)和水,前体化学物A和B的顺序脉冲通常由清除步骤分离,尽管在某些情况下,清除步骤可以省略。在一种典型情况下,诸如US 8211235B1中所公开的,每种化学物通过其自己的馈入管被馈送到反应室中。然而,可以经由同一馈入管馈送两种前体化学物,但是沉积质量可能由此降低。然而,在沉积工艺中可以避免沉积质量的降低,其中附加的能量被用来促进表面反应,诸如,在前面提到的光增强或者等离子体增强沉积工艺中。然后,可以选择前体化学物,使得尽管它们是通过同一馈入管被馈入的,但是它们在馈入管中不会彼此反应。两种(或更多)前体化学物可以经由一个或者多个相同的馈入管,在时间上分离地或者作为混合物被馈送。
因此,在某些示例实施例中,单独的脉冲阀允许
-至少两种前体作为混合物或者在时间上分离地、在有或没有载体气体的情况下
一次通过对应入口流入到反应空间中。
在某些示例实施例中,前体化学物流的方向是从一个入口到下一个入口等循环,从而达到通过旋转基板101将达到的前体化学物的相同扩散效果。
图1仅仅示出了第一前体化学物的入口,但是明显的是,根据工艺,除了入口131至133之外,还可以存在一个或者多个侧向入口。
图2示出了更详细的配置,除了侧向(前体)入口131至133之外,该配置还包含多个其他侧向入口。类似于入口131至133,侧向入口231、232和233被布置为具有120度的角间距,但是入口231至233的位置旋转了60度,使得它们在上面提到的圆周上的位置在入口131至133中的相邻入口之间的中间位置。因此,圆周包括均匀分布在圆周上的六个入口。所有入口131至133和231至233都是独立控制的。哪个入口被用来将哪种化学物引导到反应空间中取决于实施方式。在一个实施例中,入口131至133在第一脉冲周期期间用来将第一前体化学物引导到反应空间中,与入口131直接相对的入口232在第二脉冲周期期间用来引导第二前体化学物,并且入口231和233用来连续地将清除气体引导到反应空间中。当没有前体化学物经由前体化学物入口131至133和231被引进到反应空间中时,可以有惰性气体通过这些入口流入到反应空间中。
图2进一步示出了可选的侧向入口331、332和333以及可选的侧向入口431、432和433。类似于入口131至133,入口331至333和431至433被布置为具有120度的角间距,但是入口331至333的位置旋转了30度,并且入口431至433的位置旋转了90度,使得圆周包括均匀分布的十二个入口。所有入口131至133、231至233、331至333和431至433都是独立控制的(例如,通过由控制系统致动的单独脉冲阀)。哪个入口被用来将哪种化学物引导到反应空间中取决于实施方式。入口可以用来引导第一或者第二前体化学物或者清除气体。在某些示例实施例中,提供第一前体化学物到反应空间的可关闭路线的入口的数量为三个、四个、五个、六个、七个、八个或者九个入口,以提供基板表面上的前体化学物的更均一的分布。
在某些示例实施例中,连接至第一前体化学物的入口中的三个入口的指向方向是相互部分相反的。这意味着,任何第一入口的指向方向与其他两个入口的两个指向方向是部分相反的。在某些其他实施例中,连接至第一前体化学物的入口以不同的方式布置。它们不需要均匀分布。例如,在某些示例实施例中,连接至第一前体化学物的入口中的三个入口的指向方向使得:指向方向中的两个指向方向彼此直接相反,并且剩余入口的指向方向垂直于两个指向方向。在某些示例实施例中,连接至第一前体化学物的入口中的至少三个入口各自从不同的方向指向反应空间的中心区域,但是所有指向方向都不需要是相互部分相反或者直接相反的。在某些示例实施例中,连接至第一前体化学物的入口分布在圆周上,包围反应空间的中心区域,使得反应空间分成360/n个相等的扇区(其中,例如n=120、90、72、60、45、40、36或者30,即,扇区的数量分别是3、4、5、6、8、9、10或者12),使得每个扇区包含连接至第一前体化学物的至少一个入口。在某些示例实施例中,入口由入口组实施,该入口组包括在相同的位置、在彼此旁边的多个个体入口。
图4示出了根据本发明的某些示例实施例的基板处理装置100的示意侧视图。在某些示例实施例中,基板处理装置100是沉积装置、沉积反应器(例如,ALD或者CVD反应器)。
装置100包括反应室110,该反应室110限定反应空间111。在某些示例实施例中,装置包括外室120,该外室120包围反应室110,从而关闭反应室110与外室120之间的中间空间121。在某些示例实施例中,中间空间121由位于空间121中的加热器145加热。
在某些示例实施例中,反应空间111是一般形式的柱形。在某些示例实施例中,反应空间111具有圆形截面。在某些其他示例实施例中,反应空间是一般形式的矩形。在某些示例实施例中,反应空间具有方形截面。在某些示例实施例中,固定的(即,非可旋转的)基板保持器102位于反应空间内的中心。在某些示例实施例中,基板保持器承载基板101,或者在某些示例实施例中承载多个基板。基板101可以是结合图1和图2公开的形式,即,晶片。
在某些其他示例实施例中,存在水平布置在彼此的顶部上的多个晶片,使得气体可以在水平方向上在它们之间流动。在某些其他示例实施例中,存在竖直定位的单个晶片,或者存在多个晶片,该多个晶片竖直布置为彼此平行,使得气体可以在它们之间流动。
在某些示例实施例中,反应室110是通过反应室盖113从顶部密封的。在其他实施例(诸如图5所示的实施例)中,盖可以省略。在某些示例实施例中,盖113是可移动的盖,该可移动的盖可通过升降机竖直移动,以提供装载和卸载开口。升降机在图4中用箭头480表示。装载在某些示例实施例中经由装载端口114或类似物执行,装载端口114或类似物位于外室120的侧壁中。
在某些示例实施例中,基板保持器102附接或者集成至盖113(尽管这在图4中并未示出)。在其他实施例中,基板保持器是从下方被支撑的(在图4中也未示出)。
装置100包括至少三个侧向前体化学物入口,该至少三个侧向前体化学物入口各自从不同的方向指向反应空间111的中心区域,至少三个侧向化学物入口中的每个侧向化学物入口提供第一前体化学物到反应空间111的可关闭路线。例如,入口的数量和定位可以与结合图1和图2所示的任何替代方案所示的数量和定位相同,尽管在图4中仅仅示出了单个入口131。
在某些示例实施例中,第一前体化学物利用单独的脉冲阀从前体源连接至不止一个反应室入口(所述侧向前体化学物入口)。脉冲阀由控制系统160单独(或者独立)控制,并且它们位于反应室110之外。控制系统160包括处理器,该处理器被配置为执行存储在存储器介质处的计算机程序。在某些示例实施例中,如由计算机程序指示的,控制系统160被配置为将控制信号发送给脉冲阀或者脉冲阀致动构件,以打开和关闭脉冲阀的期望输入和输出。
图4示出了位于对应馈入管141的端部处的侧向前体化学物入口131。馈入管141的第一分支141a与第一前体化学物的源151流体连通。馈入管141的第二分支141b与惰性或者载体气体源152流体连通。在某些示例实施例中,第一前体化学物或者第一前体化学物和载体气体的混合物沿第一分支141a流到脉冲阀142的第一入口。脉冲阀142的定位取决于实施方式。如果外室120存在,则脉冲阀142可以位于外室120之外。惰性或者载体气体沿第二分支141b流到脉冲阀142的第二入口。控制系统160控制脉冲阀142,使得前体蒸气(或者前体蒸气和载体气体的混合物)或者惰性气体经由脉冲阀142的出口、沿馈入管141流到入口131,并且从入口131流入到反应空间111中。
在某些示例实施例中,当在沉积循环中从一个步骤进行到另一个步骤(例如,从前体脉冲到清除)时,从所有入口到反应空间中的气体流速都保持不变。
在某些示例实施例中,另一化学物也连接至不止一个反应室入口。这些入口也可以通过单独的入口或者脉冲阀独立控制。如所提到的,可以存在不止一种前体化学物。在某些示例实施例中,流到反应空间111的至少一种化学物(例如,前体化学物)被加热(在中间空间121中,例如通过加热器145,或者单独地)。
在某些示例实施例中,提供从反应室110的侧面到基板表面上的第一前体化学物的传入流、和在通过基板101的边缘之后向下到排出线115或者前级线的外出流。在某些示例实施例中,排出线115位于基板101下面。
在某些示例实施例中,化学物入口和可选地馈入管延伸到盖113内(参见图5)在基板之上,类似于US 8211235B1中所描述的。
在某些示例实施例中,装置包括分离例如附接至盖113的入口131、132和133所需的脉冲阀。
在某些示例实施例中,还如图4所示,基板保持器102关于竖直对称轴对称放置在反应室110或者反应空间111的中心区域中。在某些示例实施例中,排出线115放置在反应室110的底部部分中。在某些示例实施例中,因为对称的原因,排出线115或者气体去除对基板保持器102或者基板101周围的每个地方的气流产生相等的效果。
图5示出了根据本发明的某些其他示例实施例的基板处理装置(诸如,沉积或者清洁装置)的示意侧视图。图5所示的装置与图4所示的装置的不同在于,除了在侧面的反应室入口131等,图5所示的装置还包括顶部馈入部分590。在某些示例实施例中,顶部馈入部分590用来将能量(例如,以等离子体自由基或者光子的形式)馈入到反应空间111中,以促进基板表面上的表面反应(例如,根据PEALD或者光增强ALD)。在其他方面,结合图4呈现的描述可以适用于图5所示的实施例。已经结合图1至图3描述的内容还可适用于图4和
图5所示的实施例。这适用于所描述的结构和操作两者。
图6示出了根据本发明的某些示例实施例的方法的流程图。在步骤601中,基板经由装载路线被装载到反应室中。在图4所示的示例实施例中,例如,装载经由装载端口114并且经由通过提起盖113形成的路线而发生。在图5所示的示例实施例中,例如,装载经由相似的装载端口并且经由通过降低室形成的路线而发生,这类似于在同一申请人提交的共同未决的专利申请PCT/FI2017/050071中描述的。在步骤602中,执行ALD沉积序列,其中第一前体化学物从至少三个侧向方向流入到反应室或者反应空间中,使得第一前体化学物一次只从一个方向流动。在沉积之后,在步骤603中,从反应室卸载基板。
当ALD化学物(诸如,第一或者第二前体化学物、载体或者清除气体)以气相被释放到反应室时,优选的流动模式可以取决于化学物和工艺条件。在某些示例实施例中,前体脉冲周期的开始不会改变反应空间中的流体动力学。当在反应室内使用通常>0.1mbar的压力范围并且反应空间内的流动是湍流时,这可能是期望的。在某些其他示例实施例中,流体动力学在开始时或者在前体脉冲周期期间被故意改变。例如,当在反应室内使用<1mbar、低至1μbar的压力并且要求脉冲化学物在反应空间内的流动是更多层流时,这可能是期望的。在某些示例实施例中,通过降低除了释放脉冲化学物(即,第一或者第二前体化学物)的入口之外的一个或者多个入口的流速,来改变流体动力学。与流体动力学不改变的情况相比较,脉冲化学物到达基板表面上的更大区域,并且在某些示例实施例中,脉冲化学物在基板之上作为层流流动,而不会在基板之上产生湍流或者旋转。
在某些示例实施例中,每个入口的流速由位于每个馈入管中的质量流量控制器控制。在某些示例实施例中,除了讨论中的脉冲入口之外的入口的流速在多个预限定值之间变化。例如,所有其他入口可以具有在清除步骤期间的50sccm的流速、在第一前体化学物从一个方向的第一脉冲期间的40sccm的流速、和在相同化学物的第二脉冲期间的20sccm的流速。在某些示例实施例中,相同化学物从多个方向(但是一次从一个方向)被清除,同时其他入口的流速保持不变。可替代地,在脉冲化学物从一个方向变成另一方向之前,改变其他入口的流速。
在某些示例实施例中,不同的化学物(在本文中表示为前体化学物A和B)各自从不同的入口被馈入到反应室(反应空间)中。然而,如同一申请人提交的公开专利申请WO2016102748(A1)中所描述的,当从与图5所示的装置相似的装置的顶部通过光子或者以其它方式馈入能量时,前体化学物B可以是前体化学物A的载体。在这种装置中,在化学物馈入管中的前体化学物A和B之间没有不需要的化学反应的情况下,两种前体化学物A和B可以共用一个、两个、三个或者更多入口。
进一步地,例如,不同的化学物具有不同的反应性。在某些示例实施例中,不是所有前体化学物都需要从多个方向被脉冲。例如,利用4个入口,可以实现如下解决方案:其中前体化学物A是从三个方向被脉冲,并且前体化学物B仅仅是从剩余的一个方向被脉冲。
在某些示例实施例中,至少一种前体化学物的入口沿反应室或者反应空间的水平周边被等分分开。在某些示例实施例中,有六个侧向入口存在于周边上,其中三个侧向入口被用来脉冲前体化学物A(第一前体化学物)并且剩余的三个侧向入口被用来脉冲前体化学物B(第二前体化学物)。根据图2,入口131至133可以被用来将前体化学物A释放到反应空间中,并且入口231至233可以被用来将前体化学物B释放到反应空间中。在某些示例实施例中,当没有前体化学物经由有关的入口脉冲时,存在经由该入口朝向反应空间的惰性(或者清除)气流。
与任何特定的前述实施例有关的描述都可直接适用于其他公开的实施例。这关于所公开的装置的结构和操作两者适用。已经在前面结合前体化学物的脉冲操作描述的教导类似地可以适用于所公开的装置内的清除操作。
在不限制专利权利要求的范围和释义的情况下,下面列出了本文中公开的示例实施例中的一个或者多个示例实施例的某些技术效果。与单个入口解决方案相比较,一个技术效果为在处理大基板时改善ALD沉积均一性。与单个入口解决方案相比较,另一技术效果为将大量气相化学物递送至基板。另一技术效果是:通过替代地循环前体化学物被释放到反应室或者反应空间中的方向,提供与旋转基板时相似的效果,。
在传统的方法是使基板旋转以降低非均一性的同时,本发明所呈现的实施例提供具有到反应室的具有阀的附加化学物入口的有悖常理的方法。所呈现的解决方案可以在改善均一性方面提供相同的好处,而没有明显更昂贵的工具构造并且没有添加的粒子(如在旋转基板本身的情况下)。进一步地,例如,如果基板是3D对象,则在所呈现的解决方案的实施例中气流不会类似地改变,因为在3D基板在例如空间ALD室中旋转时它们有可能改变。
应当注意,前面讨论的功能或者方法步骤中的一些可以不同的顺序和/或彼此同时执行。此外,上述功能或者方法步骤中的一个或多个可以是可选的或者可以进行组合。
通过本发明的特定实施方式和实施例的非限制性示例的方式,前面的描述提供了本发明人目前构想的用于实施本发明的最佳模式的全面和翔实的描述。然而,对于本领域技术人员来说明显的是,本发明不限于上面呈现的实施例的细节,而是可使用等效措施在其他实施例中实施,而不偏离本发明的特点。
此外,上面公开的本发明实施例的特征中的一些特征可有利地在不对应地使用其他特征的情况下使用。因此,前面的描述应视为仅是对本发明的原理的说明,而非对其的限制。因此,本发明的范围仅受随附专利权利要求书的限制。

Claims (24)

1.一种方法,包括:
在基板处理装置中提供反应室,所述反应室具有反应空间;以及
提供至少三个侧向前体化学物入口,所述至少三个侧向前体化学物入口各自从不同的方向指向所述反应空间的中心区域,所述至少三个侧向前体化学物入口中的每个侧向前体化学物入口提供第一前体化学物到所述反应空间的独立可关闭路线。
2.根据权利要求1所述的方法,包括:
向所述反应空间中的基板提供顺序自饱和表面反应。
3.根据权利要求1或者2所述的方法,其中所述至少三个入口的指向方向中的三个指向方向是相互部分相反的。
4.根据前述权利要求中任一项所述的方法,其中提供第一前体化学物到所述反应空间的可关闭路线的入口的数量为四个、五个、六个、七个、八个或者九个入口。
5.根据前述权利要求中任一项所述的方法,其中所述入口中的至少三个入口被均匀地分布在圆周上,对称地包围所述中心区域。
6.根据前述权利要求中任一项所述的方法,其中所述第一前体化学物一次从一个方向被脉冲到所述反应空间中。
7.根据权利要求6所述的方法,其中在脉冲周期期间,或者在相同前体化学物的连续脉冲周期之间,脉冲方向被改变。
8.根据前述权利要求中任一项所述的方法,包括:
打开第一前体化学物经由第一侧向前体化学物入口从第一方向到所述反应空间中的路线,使得所述第一前体化学物在第一时间段期间经由该入口流入到所述反应空间中;并且防止所述第一前体化学物在该第一时间段期间经由其他入口流入到所述反应空间中;
打开所述第一前体化学物经由第二侧向前体化学物入口从与所述第一方向不同的第二方向到所述反应空间中的路线,使得所述第一前体化学物在第二时间段期间经由该入口流入到所述反应空间中;并且防止所述第一前体化学物在该第二时间段期间经由其他入口流入到所述反应空间中;以及
打开所述第一前体化学物经由第三侧向前体化学物入口从与所述第一方向和所述第二方向两者都不同的第三方向到所述反应空间中的路线,使得所述第一前体化学物在第三时间段期间经由该入口流入到所述反应空间中;并且防止所述第一前体化学物在该第三时间段期间经由其他入口流入到所述反应空间中。
9.根据前述权利要求中任一项所述的方法,包括:
由固定基板保持器承载基板;以及
通过以预定方式打开和关闭个体入口,向所述基板提供与旋转所述基板相当的效果。
10.根据前述权利要求中任一项所述的方法,包括:
提供所述第一前体化学物从所述反应室的侧面到基板表面上的传入流、和在通过所述基板的边缘之后向下排出的外出流。
11.根据前述权利要求中任一项所述的方法,包括:
加热流入到所述反应空间中的至少一种化学物。
12.根据前述权利要求中任一项所述的方法,包括:
提供外室,所述外室包围所述反应室,从而关闭所述反应室与所述外室之间的中间空间;以及
经由所述中间空间朝向所述反应室来引导化学物馈入管。
13.根据前述权利要求中任一项所述的方法,包括:
向所述反应空间提供对称气流分布。
14.根据前述权利要求中任一项所述的方法,包括:
在沉积循环中从一个步骤进行到另一步骤时,保持所述反应室中的流体动力学不变。
15.一种装置,包括:
反应室,所述反应室具有反应空间;以及
至少三个侧向前体化学物入口,所述至少三个侧向前体化学物入口各自从不同的方向指向所述反应空间的中心区域,所述至少三个侧向前体化学物入口中的每个侧向前体化学物入口提供第一前体化学物到所述反应空间的独立可关闭路线。
16.根据权利要求15所述的装置,其中所述至少三个入口的指向方向中的三个指向方向是相互部分相反的。
17.根据权利要求15或者16所述的装置,其中提供第一前体化学物到所述反应空间的可关闭路线的入口的数量为四个、五个、六个、七个、八个或者九个入口。
18.根据权利要求15至17中任一项所述的装置,其中所述入口中的至少三个入口被均匀地分布在圆周上,对称地包围所述中心区域。
19.根据权利要求15至18中任一项所述的装置,包括:
固定基板保持器,所述固定基板保持器被配置为承载基板;以及
控制系统,所述控制系统被配置为以预定方式打开和关闭个体入口,以向所述基板提供与旋转所述基板相当的效果。
20.根据权利要求15至19中任一项所述的装置,其中所述第一前体化学物的化学物馈入线分岔成第一、第二和第三馈入管,所述第一、第二和第三馈入管延伸到相应的第一、第二和第三侧向前体化学物入口,所述第一、第二和第三侧向前体化学物入口从所述不同的方向指向所述反应空间。
21.根据权利要求20所述的装置,包括独立控制的脉冲阀,所述独立控制的脉冲阀在所述第一、第二和第三馈入管中的每个馈入管中。
22.根据权利要求15至21中任一项所述的装置,包括:
加热器,所述加热器被配置为加热流入到所述反应空间中的至少一种化学物。
23.根据权利要求15至22中任一项所述的装置,包括:
外室,所述外室包围所述反应室,从而关闭所述反应室与所述外室之间的中间空间;以及
化学物馈入管,所述化学物馈入管经由所述中间空间朝向所述反应室而被引导。
24.根据权利要求15至23中任一项所述的装置,包括:
控制系统,所述控制系统被配置为在沉积循环中从一个步骤进行到另一步骤时,保持所述反应室中的流体动力学不变。
CN201780089178.XA 2017-04-10 2017-04-10 均一沉积 Active CN110475906B (zh)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
PCT/FI2017/050254 WO2018189413A1 (en) 2017-04-10 2017-04-10 Uniform deposition

Publications (2)

Publication Number Publication Date
CN110475906A true CN110475906A (zh) 2019-11-19
CN110475906B CN110475906B (zh) 2022-05-13

Family

ID=63793007

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201780089178.XA Active CN110475906B (zh) 2017-04-10 2017-04-10 均一沉积

Country Status (6)

Country Link
US (1) US20210087687A1 (zh)
EP (1) EP3610053A4 (zh)
KR (3) KR20240118902A (zh)
CN (1) CN110475906B (zh)
TW (1) TWI744502B (zh)
WO (1) WO2018189413A1 (zh)

Families Citing this family (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE17908402T1 (de) * 2017-05-02 2020-06-25 Picosun Oy Ald-vorrichtung, verfahren und ventil
EP3747480B1 (en) * 2019-06-06 2023-03-29 Picosun Oy Manufacturing of coated items
WO2020260742A1 (en) * 2019-06-25 2020-12-30 Picosun Oy Substrate backside protection
CN111254417A (zh) * 2020-03-03 2020-06-09 长江存储科技有限责任公司 存储器制作装置及制作方法

Citations (16)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5770469A (en) * 1995-12-29 1998-06-23 Lam Research Corporation Method for forming semiconductor structure using modulation doped silicate glasses
US20040124131A1 (en) * 2002-09-11 2004-07-01 Aitchison Bradley J. Precursor material delivery system for atomic layer deposition
JP2005175242A (ja) * 2003-12-12 2005-06-30 Mitsubishi Heavy Ind Ltd 薄膜作製装置及び薄膜作製方法
US20080095953A1 (en) * 2006-10-24 2008-04-24 Samsung Electronics Co., Ltd. Apparatus for depositing thin film and method of depositing the same
US20080193643A1 (en) * 2007-02-12 2008-08-14 Tokyo Electron Limited Atomic layer deposition systems and methods
CN101465276A (zh) * 2007-12-19 2009-06-24 北京北方微电子基地设备工艺研究中心有限责任公司 一种进气装置及应用该进气装置的半导体处理设备
JP2009170868A (ja) * 2007-12-18 2009-07-30 Sumitomo Electric Ind Ltd 気相処理装置、気相処理方法および基板
US20100055312A1 (en) * 2008-09-04 2010-03-04 Tokyo Electron Limited Film deposition apparatus, substrate processing apparatus, film deposition method, and computer-readable storage medium
JP2010114392A (ja) * 2008-11-10 2010-05-20 Tokyo Electron Ltd ガスインジェクター及び成膜装置
CN103155104A (zh) * 2010-10-06 2013-06-12 株式会社Eugene科技 通过对称型流入口和流出口供给反应气体的基板处理装置
US20130210238A1 (en) * 2012-01-31 2013-08-15 Joseph Yudovsky Multi-Injector Spatial ALD Carousel and Methods of Use
US20130252437A1 (en) * 2012-03-21 2013-09-26 Hitachi Kokusai Electric Inc. Method of manufacturing semiconductor device, method of processing substrate, substrate processing apparatus, and recording medium
US20130267045A1 (en) * 2012-04-04 2013-10-10 Taiwan Semiconductor Manufacturing Co., Ltd. Shower head apparatus and method for controllign plasma or gas distribution
US9428833B1 (en) * 2015-05-29 2016-08-30 Lam Research Corporation Method and apparatus for backside deposition reduction by control of wafer support to achieve edge seal
CN106304597A (zh) * 2013-03-12 2017-01-04 应用材料公司 具有方位角与径向分布控制的多区域气体注入组件
CN106463365A (zh) * 2014-07-03 2017-02-22 应用材料公司 具有更均匀的边缘净化的基板支撑件

Family Cites Families (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
SE393967B (sv) * 1974-11-29 1977-05-31 Sateko Oy Forfarande och for utforande av stroleggning mellan lagren i ett virkespaket
US6866026B2 (en) * 2002-08-28 2005-03-15 Federal-Mogul World Wide, Inc. Gasket for fuel injector
US6818249B2 (en) * 2003-03-03 2004-11-16 Micron Technology, Inc. Reactors, systems with reaction chambers, and methods for depositing materials onto micro-device workpieces
US8211235B2 (en) * 2005-03-04 2012-07-03 Picosun Oy Apparatuses and methods for deposition of material on surfaces
DE102016108845A1 (de) * 2016-05-12 2017-11-16 Stephan Wege Gasinjektor für Reaktorbereiche

Patent Citations (16)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5770469A (en) * 1995-12-29 1998-06-23 Lam Research Corporation Method for forming semiconductor structure using modulation doped silicate glasses
US20040124131A1 (en) * 2002-09-11 2004-07-01 Aitchison Bradley J. Precursor material delivery system for atomic layer deposition
JP2005175242A (ja) * 2003-12-12 2005-06-30 Mitsubishi Heavy Ind Ltd 薄膜作製装置及び薄膜作製方法
US20080095953A1 (en) * 2006-10-24 2008-04-24 Samsung Electronics Co., Ltd. Apparatus for depositing thin film and method of depositing the same
US20080193643A1 (en) * 2007-02-12 2008-08-14 Tokyo Electron Limited Atomic layer deposition systems and methods
JP2009170868A (ja) * 2007-12-18 2009-07-30 Sumitomo Electric Ind Ltd 気相処理装置、気相処理方法および基板
CN101465276A (zh) * 2007-12-19 2009-06-24 北京北方微电子基地设备工艺研究中心有限责任公司 一种进气装置及应用该进气装置的半导体处理设备
US20100055312A1 (en) * 2008-09-04 2010-03-04 Tokyo Electron Limited Film deposition apparatus, substrate processing apparatus, film deposition method, and computer-readable storage medium
JP2010114392A (ja) * 2008-11-10 2010-05-20 Tokyo Electron Ltd ガスインジェクター及び成膜装置
CN103155104A (zh) * 2010-10-06 2013-06-12 株式会社Eugene科技 通过对称型流入口和流出口供给反应气体的基板处理装置
US20130210238A1 (en) * 2012-01-31 2013-08-15 Joseph Yudovsky Multi-Injector Spatial ALD Carousel and Methods of Use
US20130252437A1 (en) * 2012-03-21 2013-09-26 Hitachi Kokusai Electric Inc. Method of manufacturing semiconductor device, method of processing substrate, substrate processing apparatus, and recording medium
US20130267045A1 (en) * 2012-04-04 2013-10-10 Taiwan Semiconductor Manufacturing Co., Ltd. Shower head apparatus and method for controllign plasma or gas distribution
CN106304597A (zh) * 2013-03-12 2017-01-04 应用材料公司 具有方位角与径向分布控制的多区域气体注入组件
CN106463365A (zh) * 2014-07-03 2017-02-22 应用材料公司 具有更均匀的边缘净化的基板支撑件
US9428833B1 (en) * 2015-05-29 2016-08-30 Lam Research Corporation Method and apparatus for backside deposition reduction by control of wafer support to achieve edge seal

Also Published As

Publication number Publication date
KR20230035141A (ko) 2023-03-10
WO2018189413A1 (en) 2018-10-18
TWI744502B (zh) 2021-11-01
CN110475906B (zh) 2022-05-13
KR20190132690A (ko) 2019-11-28
EP3610053A1 (en) 2020-02-19
EP3610053A4 (en) 2021-02-17
TW201839165A (zh) 2018-11-01
KR20240118902A (ko) 2024-08-05
US20210087687A1 (en) 2021-03-25

Similar Documents

Publication Publication Date Title
CN110475906A (zh) 均一沉积
US6821347B2 (en) Apparatus and method for depositing materials onto microelectronic workpieces
JP5535913B2 (ja) 蒸気に基づく組合せ処理
KR102197576B1 (ko) 재순환을 이용하는 공간적인 원자 층 증착을 위한 장치 및 사용 방법들
CN105714272B (zh) 用于提高膜均匀性的装置和方法
CN107523804A (zh) 用于膜轮廓调节的喷头帘式气体方法和系统
US20230383404A1 (en) Ald apparatus, method and valve
US20050145337A1 (en) Apparatus for forming thin layers of materials on micro-device workpieces
TWI724974B (zh) 用於薄膜沉積設備的流體分配裝置、相關設備和方法
US7584942B2 (en) Ampoules for producing a reaction gas and systems for depositing materials onto microfeature workpieces in reaction chambers
CN118119732A (zh) 用于半导体处理的阀歧管
FI130131B (en) Precursor container
US11668005B2 (en) Manufacturing of coated items
FI129610B (en) SUBSTRATE PROCESSING EQUIPMENT AND METHOD
EP4384649A1 (en) An atomic layer deposition reaction chamber and an atomic layer deposition reactor
KR20130058627A (ko) 성막 장치

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant