CN109918336A - 周期性处理执行系统和片上系统 - Google Patents

周期性处理执行系统和片上系统 Download PDF

Info

Publication number
CN109918336A
CN109918336A CN201811343565.4A CN201811343565A CN109918336A CN 109918336 A CN109918336 A CN 109918336A CN 201811343565 A CN201811343565 A CN 201811343565A CN 109918336 A CN109918336 A CN 109918336A
Authority
CN
China
Prior art keywords
time
system processor
start time
time interval
cyclical process
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
CN201811343565.4A
Other languages
English (en)
Inventor
赵东植
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Samsung Electronics Co Ltd
Original Assignee
Samsung Electronics Co Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Samsung Electronics Co Ltd filed Critical Samsung Electronics Co Ltd
Publication of CN109918336A publication Critical patent/CN109918336A/zh
Pending legal-status Critical Current

Links

Classifications

    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F9/00Arrangements for program control, e.g. control units
    • G06F9/06Arrangements for program control, e.g. control units using stored programs, i.e. using an internal store of processing equipment to receive or retain programs
    • G06F9/22Microcontrol or microprogram arrangements
    • G06F9/223Execution means for microinstructions irrespective of the microinstruction function, e.g. decoding of microinstructions and nanoinstructions; timing of microinstructions; programmable logic arrays; delays and fan-out problems
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F1/00Details not covered by groups G06F3/00 - G06F13/00 and G06F21/00
    • G06F1/04Generating or distributing clock signals or signals derived directly therefrom
    • G06F1/10Distribution of clock signals, e.g. skew
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F1/00Details not covered by groups G06F3/00 - G06F13/00 and G06F21/00
    • G06F1/26Power supply means, e.g. regulation thereof
    • G06F1/28Supervision thereof, e.g. detecting power-supply failure by out of limits supervision
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F1/00Details not covered by groups G06F3/00 - G06F13/00 and G06F21/00
    • G06F1/26Power supply means, e.g. regulation thereof
    • G06F1/32Means for saving power
    • G06F1/3203Power management, i.e. event-based initiation of a power-saving mode
    • G06F1/3206Monitoring of events, devices or parameters that trigger a change in power modality
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F1/00Details not covered by groups G06F3/00 - G06F13/00 and G06F21/00
    • G06F1/26Power supply means, e.g. regulation thereof
    • G06F1/32Means for saving power
    • G06F1/3203Power management, i.e. event-based initiation of a power-saving mode
    • G06F1/3234Power saving characterised by the action undertaken
    • G06F1/3237Power saving characterised by the action undertaken by disabling clock generation or distribution
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F1/00Details not covered by groups G06F3/00 - G06F13/00 and G06F21/00
    • G06F1/26Power supply means, e.g. regulation thereof
    • G06F1/32Means for saving power
    • G06F1/3203Power management, i.e. event-based initiation of a power-saving mode
    • G06F1/3234Power saving characterised by the action undertaken
    • G06F1/3243Power saving in microcontroller unit
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F1/00Details not covered by groups G06F3/00 - G06F13/00 and G06F21/00
    • G06F1/26Power supply means, e.g. regulation thereof
    • G06F1/32Means for saving power
    • G06F1/3203Power management, i.e. event-based initiation of a power-saving mode
    • G06F1/3234Power saving characterised by the action undertaken
    • G06F1/3287Power saving characterised by the action undertaken by switching off individual functional units in the computer system
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F9/00Arrangements for program control, e.g. control units
    • G06F9/06Arrangements for program control, e.g. control units using stored programs, i.e. using an internal store of processing equipment to receive or retain programs
    • G06F9/44Arrangements for executing specific programs
    • G06F9/4401Bootstrapping
    • G06F9/4418Suspend and resume; Hibernate and awake
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F9/00Arrangements for program control, e.g. control units
    • G06F9/06Arrangements for program control, e.g. control units using stored programs, i.e. using an internal store of processing equipment to receive or retain programs
    • G06F9/46Multiprogramming arrangements
    • G06F9/48Program initiating; Program switching, e.g. by interrupt
    • G06F9/4806Task transfer initiation or dispatching
    • G06F9/4843Task transfer initiation or dispatching by program, e.g. task dispatcher, supervisor, operating system
    • G06F9/4881Scheduling strategies for dispatcher, e.g. round robin, multi-level priority queues
    • G06F9/4887Scheduling strategies for dispatcher, e.g. round robin, multi-level priority queues involving deadlines, e.g. rate based, periodic
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y02TECHNOLOGIES OR APPLICATIONS FOR MITIGATION OR ADAPTATION AGAINST CLIMATE CHANGE
    • Y02DCLIMATE CHANGE MITIGATION TECHNOLOGIES IN INFORMATION AND COMMUNICATION TECHNOLOGIES [ICT], I.E. INFORMATION AND COMMUNICATION TECHNOLOGIES AIMING AT THE REDUCTION OF THEIR OWN ENERGY USE
    • Y02D10/00Energy efficient computing, e.g. low power processors, power management or thermal management

Landscapes

  • Engineering & Computer Science (AREA)
  • Theoretical Computer Science (AREA)
  • General Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Software Systems (AREA)
  • Computing Systems (AREA)
  • Computer Hardware Design (AREA)
  • Computer Security & Cryptography (AREA)
  • Power Sources (AREA)
  • Electric Clocks (AREA)
  • Formation Of Insulating Films (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Crystals, And After-Treatments Of Crystals (AREA)

Abstract

公开了一种周期性处理执行系统和片上系统。一种周期性处理执行系统包括:系统处理器,其中,第一周期性实时处理被分配给所述系统处理器,其中,第一周期性实时处理从第一开始时刻开始按照第一时间间隔开始被执行;唤醒逻辑,将能够执行第一周期性实时处理的第一状态提供给系统处理器;以及计数器,从早于第一开始时刻的第二开始时刻开始按照第一时间间隔向唤醒逻辑提供第一滴答信号,并且从在第一开始时刻与第二开始时刻之间的第三开始时刻开始按照第一时间间隔向系统处理器提供第二滴答信号。

Description

周期性处理执行系统和片上系统
本申请要求在2017年12月13日在韩国知识产权局提交的序列号为10-2017-0170935的韩国专利申请的优先权权益,本申请的全部公开通过引用合并于此。
技术领域
本公开的各种示例实施例涉及周期性处理执行系统、方法、非暂时性计算机可读介质和/或包括其的片上系统。
背景技术
在许多情况下,系统处理器(诸如,应用处理器(AP))在低功耗模式期间部分地关闭电力或者停止时钟信号以便减少系统处理器和/或包括系统处理器的电子装置的电力消耗。因此,存在为了使应用处理器执行处理而有必要再次提供电力和/或时钟信号的情况。
由于实时处理器可存在于系统处理器的外部(诸如,应用处理器),因此实时处理器总是开启的,其中,实时处理器执行需要按照精确地固定的时钟周期间隔被执行的周期性实时处理。因此,不需要为实时处理器提供另一滴答(例如,时钟)信号。
然而,近来,实时处理器已经被构建到应用处理器中并且/或者与应用处理器集成。在低功耗模式中,系统处理器(诸如,应用处理器)可不被提供时钟信号和/或电力。因此,为了执行系统处理器(诸如,应用处理器)需要按照固定的周期被准确地执行的处理,可能需要用于执行处理的准备过程(例如,接收电力和/或时钟信号的过程)。在这种情况下,由于准备过程所需要的时间未被指定,因此存在系统处理器(诸如,应用处理器)难以执行需要基于固定的时钟周期准确执行的处理的问题。因此,对于使用滴答信号使得在系统处理器中包括的周期性实时处理可被准确地调度存在不断增长的需求。
发明内容
至少一个示例实施例的一方面提供一种周期性处理执行系统,其中,所述周期性处理执行系统用于执行期望、有助于和/或需要被按照固定周期(例如,固定的时钟周期的间隔)准确地执行的处理。
至少一个示例实施例的另一方面通过使用多个滴答(tick)信号允许周期性实时处理被准确地调度。
各种示例实施例不限于以上提及的这些,并且未被提及的其它方面可从下面的描述中被本领域中的技术人员清楚地理解。
根据至少一个示例实施例的一方面,提供一种周期性处理执行系统,所述周期性处理执行系统包括:系统处理器,被配置为从第一开始时刻开始按照第一时间间隔周期性地执行第一周期性实时处理;唤醒逻辑,被配置为发送促使系统处理器进入能够执行第一周期性实时处理的第一状态的至少一个信号;以及计数器,被配置为:从第二开始时刻开始按照第一时间间隔向唤醒逻辑提供第一滴答信号,其中,第二开始时刻早于第一开始时刻;并且从第三开始时刻开始按照第一时间间隔向系统处理器提供第二滴答信号,其中,第三开始时刻在第一开始时刻与第二开始时刻之间。
根据至少一个示例实施例的另一方面,提供一种周期性处理执行系统,所述周期性处理执行系统包括:系统处理器,被配置为从第一开始时刻开始按照第一时间间隔周期性地执行第一周期性实时处理;计数器,被配置为从第二开始时刻开始按照第一时间间隔产生第一滴答信号,其中,第二开始时刻早于第一开始时刻;电力管理单元,被配置为向系统处理器提供电力;时钟管理单元,被配置为向系统处理器提供时钟信号;唤醒逻辑,被配置为基于第一滴答信号控制电力管理单元和时钟管理单元向系统处理器提供电力和时钟信号,其中,计数器还被配置为从第三开始时刻开始按照第一时间间隔产生第二滴答信号,其中,第三开始时刻在第一开始时刻与第二开始时刻之间,并且系统处理器还被配置为基于第二滴答信号开始第一周期性实时处理的执行。
根据至少一个示例实施例的另一方面,提供一种片上系统,所述片上系统包括:接口,被配置为从主机接收对于将被周期性地执行的任务的请求;周期性处理执行系统,被配置为执行与请求的任务相关的第一周期性实时处理,其中,第一周期性实时处理是在多个第一时间间隔中的每一个第一时间间隔开始时开始被执行的处理,所述多个第一时间间隔从第一开始时刻计算,周期性处理执行系统包括:系统处理器,被配置为执行第一周期性实时处理;计数器,被配置为:从第二开始时刻开始按照第一时间间隔产生第一滴答信号,其中,第二开始时刻早于第一开始时刻;并且从第三开始时刻开始按照第一时间间隔产生第二滴答信号,其中,第三开始时刻在第一开始时刻与第二开始时刻之间;以及唤醒逻辑,被配置为从计数器接收第一滴答信号以发送促使系统处理器进入能够执行第一周期性实时处理的第一状态的至少一个信号,并且系统处理器被配置为:当第一周期性实时处理被分配给系统处理器时,在计数器中设置第二开始时刻、第三开始时刻和第一时间间隔,并基于第二滴答信号开始第一周期性实时处理的执行。
附图说明
各种示例实施例的以上和其它方面和特征将通过参照附图详细地描述其示例实施例而变得更清楚,其中:
图1是用于解释根据至少一个示例实施例的包括周期性处理执行系统的片上系统的示例的框图;
图2是示出根据一些示例实施例的用于在周期性处理执行系统中执行周期性处理的方法的示例的流程图;
图3是示出根据一些示例实施例的由周期性处理执行系统执行的周期性处理的示例的示图;
图4是用于解释根据一些示例实施例的用于在周期性处理执行系统中产生滴答信号的开始时刻的方法的示例的示图;
图5是用于解释根据一些示例实施例的用于在周期性处理执行系统中执行周期性处理的方法的示例的示图;
图6是用于解释根据一些示例实施例的用于在周期性处理执行系统中执行周期性处理的方法的示例的示图;
图7是用于解释根据一些示例实施例的用于在周期性处理执行系统中执行周期性处理的方法的示例的示图;
图8是用于解释根据一些示例实施例的用于在周期性处理执行系统中产生滴答信号的方法的示例的时序图;
图9是用于解释根据一些示例实施例的用于在周期性处理执行系统中经由时钟管理单元向系统处理器提供时钟的方法的示例的示图;
具体实施方式
图1是用于解释根据至少一个示例实施例的包括周期性处理执行系统的片上系统的示例的框图。
参照图1,片上系统1可包括接口10和/或周期性处理执行系统20等,然而,示例实施例不限于此,并且片上系统1可具有比以上列举的组件更多或更少的组件。
片上系统1可以是计算装置和/或处理装置(诸如,移动计算装置等)中包括的片上系统(SoC),但是不限于此。例如,可在个人计算机、服务器、笔记本电脑、移动电话、智能电话、EDA(企业数字助理)、数码相机、数码摄像机、PMP(便携式多媒体播放器)、PND(个人导航装置或便携式导航装置)、移动互联网装置(MID)、可穿戴计算机、物联网(IOT)装置、万物互联(IOE)装置、电子书、虚拟现实装置、增强现实装置、游戏控制台等中包括SoC。
接口10可向主机发送数据、指令、任务请求等,并且/或者从主机接收数据、指令、任务请求等。例如,接口10可从主机中接收任务请求。
接口10可经由有线接口和/或总线(诸如,PATA总线(并行AT附接总线)、SATA总线(串行AT附接总线)、SCSI接口、USB接口、PCIe接口等)与主机连接。然而,示例实施例不限于此,并且SoC 1也可经由无线接口与主机通信。
当从主机向接口10请求周期性地执行的任务时,周期性处理执行系统20可执行周期性实时处理。
这里,周期性实时处理是这样的处理,其中,所述处理在按照固定周期(例如,时钟周期、时钟间隔等)被准确执行之后仅在期望的和/或预设的时间段内被执行,并且不应该比给定时间开始的更早或更晚。在下面,周期性实时处理被称为周期性处理。
周期性处理执行系统20可包括计数器21、系统处理器22、唤醒逻辑23、电力管理单元24、和/或时钟管理单元25等。然而,示例实施例不限于以上描述的组件,并且周期性处理执行系统20可具有比以上列举的组件更多或更少的组件。
计数器21可用于基于输入信号计数,包括基于时钟输入信号计时。例如,计数器21可从振荡器接收时钟(例如,时钟信号)并且可对接收到的时钟被切换(例如,从0到1或从1到0的转变等)的次数、接收到的时钟的上升沿的次数和/或接收到的时钟的下降沿的次数进行计数以计时等。
计数器21可按照期望的和/或预设的时间间隔产生滴答信号,并且输出滴答信号。例如,计数器21可从第一开始时刻按照第一时间间隔产生第一滴答信号。
计数器21也可产生具有不同开始时刻的多个滴答信号并且在不同时刻(例如,分开的时刻)输出多个滴答信号。例如,计数器21可从第一开始时刻按照第一时间间隔产生第一滴答信号,并且可从第二开始时刻按照第二时间间隔产生第二滴答信号等。
计数器21可向唤醒逻辑23和/或系统处理器22分别提供具有不同开始时刻的多个滴答信号。例如,计数器21可向唤醒逻辑23提供从第一开始时刻按照第一时间间隔产生的第一滴答信号,并且/或者可向系统处理器22提供从第二开始时刻按照第二时间间隔产生的第二滴答信号等,但是示例实施例不限于此。
唤醒逻辑23可根据从计数器21接收到第一滴答信号形成系统处理器22可执行周期性处理的状态,但是示例实施例不限于此。
系统处理器22可根据从计数器21接收到第二滴答信号执行周期性处理,但是示例实施例不限于此。
为了使系统处理器22执行周期性处理,需要向系统处理器22提供电力和/或时钟。
根据至少一个示例实施例,为了使系统处理器22执行周期性处理,在系统处理器22接收第二滴答信号之前,唤醒逻辑23首先接收第一滴答信号。原因是唤醒逻辑23需要接收第一滴答信号以便促使系统处理器22改变到能够执行周期性处理的状态(例如,从无电和/或低电模式唤醒系统处理器22等)。
第二滴答信号在系统处理器22改变到能够执行周期性处理的状态之后被提供给系统处理器22。因此,当周期性处理被分配给系统处理器22时,系统处理器22可基于计数器21设置第一滴答信号的第二开始时刻、第二滴答信号的第三开始时刻以及与第一滴答信号和第二滴答信号的产生周期相关的时间。
系统处理器22可控制周期性处理执行系统的整体操作。此外,系统处理器22可执行在周期性处理执行系统中执行的各种处理并且/或者存储与由系统执行的各种处理相关联的数据。系统处理器22可驱动操作系统(OS)、应用、数据库管理器等以驱动周期性处理执行系统,但是示例实施例不限于此。
系统处理器22可以是CPU(中央处理单元)、协同处理器、APU(算术处理单元)、GPU(图形处理单元)、DSP(数字信号处理器)、AP(应用处理器)、CP(通信处理器)等,但是示例实施例不限于此。
唤醒逻辑23可提供系统处理器22可执行处理的状态。这里,系统处理器22可执行处理的状态可以是时钟和/或电力被提供给系统处理器22的状态,但是示例实施例不限于此。
电力管理单元24可管理提供给系统处理器22的电力。例如,电力管理单元24可根据唤醒逻辑23的控制向系统处理器22供电,但是不限于此。
时钟管理单元25可管理提供给系统处理器22的时钟(例如,时钟信号)。例如,时钟管理单元25根据唤醒逻辑23的控制(例如,从唤醒逻辑23接收到的控制信号)通过锁相环(PLL)电路、延迟锁定环(DLL)电路等产生时钟,并且可向系统处理器22提供时钟。随后将参照图9更详细地描述用于向系统处理器22提供时钟的方法。
图2是示出根据一些示例实施例的用于在周期性处理执行系统中执行周期性处理的方法的示例的流程图。图3是示出根据一些示例实施例的由周期性处理执行系统执行的周期性处理的示例的示图。图4是用于解释根据一些示例实施例的用于在周期性处理执行系统中产生滴答信号的开始时刻的方法的示例的示图。图5到图7是用于解释根据一些示例实施例的用于在周期性处理执行系统中执行周期性处理的方法的示例的示图。
参照图2,可将周期性处理分配给系统处理器(例如,图1的系统处理器22)(S210)。
参照图3,周期性处理可以是从第一开始时刻t0开始准确地按照第一时间tp的间隔被执行并且在期望的和/或预设的时间td已经过去时完成的处理。这里,期望的和/或预设的时间td可比第一时间tp更短。
例如,第一执行的周期性处理31在第一开始时刻t0开始,并且可在期望的和/或预设的时间td已经过去时完成。
第二执行的周期性处理32可在从第一开始时刻t0已经过去第一时间tp之后在时刻(t0+tp)开始,并且可在期望的和/或预设的时间td已经过去时完成。
第三执行的周期性处理33可在从时刻(t0+tp)已经过去第一时间tp之后在时刻(t0+2tp)开始,并且可在期望的和/或预设的时间td已经过去时完成。
图3显示了一直到第三执行的周期性处理33的处理。然而,即使在周期性处理33被第三执行之后,处理的执行也可按照第一时间tp的间隔继续开始。
再参照图2,当周期性处理被分配时,系统处理器22可基于计数器(例如,图1的计数器21)设置第一滴答信号和第二滴答信号中的每一个的开始时刻(S220)。这里,第一滴答信号的开始时刻可比周期性处理最初开始的第一开始时刻更快(例如,更早),并且第二滴答信号的开始时刻可在第一滴答信号的开始时刻与第一开始时刻之间,然而,示例实施例不限于此,并且第一滴答信号的时钟周期(和/或开始时刻)可大于、等于或小于第二滴答信号的时钟周期等。此外,根据其它示例实施例,可产生更多或更少数量的时钟信号。
例如,参照图4,当周期性处理被分配时,系统处理器22可基于计数器21设置第一滴答信号的第二开始时刻ta和第二滴答信号的第三开始时刻tb。这里,第一滴答信号可以是提供给唤醒逻辑(例如,图1的唤醒逻辑23)的信号,并且第二滴答信号可以是提供给系统处理器22的信号,但是示例实施例不限于此。
此外,系统处理器22可在计数器21上设置产生第一滴答信号的时间间隔和产生第二滴答信号的时间间隔。产生第一滴答信号的时间间隔和产生第二滴答信号的时间间隔可与开始周期性处理的执行的时间间隔相同,但是不限于此。
第二滴答信号的第三开始时刻tb可比第一执行的周期性处理31的第一开始时刻t0快(例如,早)第二时间t1。这里,第二时间t1可相应于在系统处理器22被提供第二滴答信号之后直到执行周期性处理为止所期望的、有用的和/或需要的时间。然而,第二时间t1不限于此,并且第二时间t1可比在系统处理器22被提供第二滴答信号之后直到执行周期性处理为止所期望的、有用的和/或需要的时间更长。
在时钟和电力被提供给系统处理器22时根据第二滴答信号的接收执行周期性处理的情况下,第二时间t2可以是固定的而不会改变。因此,为了能够按照正确的周期开始周期性处理,在第二滴答信号被提供之前需要为系统处理器提供被提供时钟和电力的状态。即,期望在第二滴答信号被提供给系统处理器之前第一滴答信号被提供给唤醒逻辑。因此,下面将更详细地描述第一滴答信号。
第一滴答信号的第二开始时刻ta可比第二滴答信号的第三开始时刻tb快(例如,早)第三时间t2。这里,第三时间t2可相应于在唤醒逻辑23被提供第一滴答信号之后直到将能够执行周期性处理的状态提供给系统处理器22为止所期望的、有用的和/或需要的时间。然而,第三时间t2不限于此,并且第三时间t2可比在唤醒逻辑23被提供第一滴答信号之后直到将能够执行周期性处理的状态提供给系统处理器22为止所期望的、有用的和或/需要的时间更长。
第二时间t1的长度可与第三时间t2的长度不同。然而,示例实施例不限于此,并且第二时间t1的长度可相应于第三时间t2的长度等。
再参照图2,当在步骤(S220)设置了第一滴答信号和第二滴答信号中的每一个的开始时刻时,计数器可按照期望的和/或预设的时间间隔产生第一滴答信号和第二滴答信号。另一方面,唤醒逻辑23可识别第一滴答信号是否已经出现(S230)。
例如,参照图5,当周期性处理30被分配给系统处理器22时,系统处理器22可基于计数器21设置与第一滴答信号41相关的第一开始时刻和与第一滴答信号41的产生周期相关的时间。在这种情况下,计数器21可从第一开始时刻开始按照期望的和/或预设的时间间隔产生第一滴答信号41。
唤醒逻辑23可周期性地接收由计数器21产生的第一滴答信号41。当从计数器21接收到第一滴答信号41时,唤醒逻辑23可识别出第一滴答信号被产生。
再参照图2,当识别到第一滴答信号还未被产生时(在S230中否),唤醒逻辑(图1的23)可检查(例如,持续检查、周期性地检查、在期望的时间检查等)第一滴答信号是否已经被产生。
另一方面,当识别到第一滴答信号已经被产生时(在S230中是),唤醒逻辑23可为系统处理器22提供能够执行周期性处理的第一状态(S240)。
参照图6,根据第一滴答信号的接收,唤醒逻辑23可控制电力管理单元24和/或时钟管理单元25,使得电力(PW)和/或时钟(Clk)(例如,信号)被提供给系统处理器22。电力(PW)和/或时钟(Clk)被提供给系统处理器22的状态可以是系统处理器22可执行周期性处理的第一状态,诸如,正常状态等。
作为示例,唤醒逻辑23可在系统处理器22未被提供电力(PW)也未被提供时钟(Clk)的状态下接收第一滴答信号。在这种情况下,唤醒逻辑23可控制电力管理单元24和时钟管理单元25,使得电力(PW)和时钟(Clk)被提供给系统处理器22。
作为另一示例,唤醒逻辑23可在系统处理器22被提供电力(PW)但未被提供时钟(Clk)的状态下接收第一滴答信号。在这种情况下,唤醒逻辑23可控制电力管理单元24和时钟管理单元25,使得电力(PW)和时钟(Clk)被提供给系统处理器22。然而,由于电力(PW)被提供给系统处理器22,因此唤醒逻辑23可控制电力管理单元24使得电力(PW)的提供可被保持,并且唤醒逻辑23可控制时钟管理单元25使得时钟(Clk)被额外地提供给系统处理器22。
作为另一示例,当唤醒逻辑23在系统处理器22被提供电力(PW)和时钟(Clk)的状态下接收到第一滴答信号时,唤醒单元23可控制电力管理单元24和时钟管理单元25使得对系统处理器的电力(PW)和时钟(Clk)的提供被保持。
作为另一示例,当唤醒逻辑23在系统处理器22被提供时钟(Clk)但未被提供电力(PW)的状态下接收到第一滴答信号时,唤醒逻辑23可控制电力管理单元24和时钟管理单元25,使得电力(PW)被提供给系统处理器并且时钟(Clk)被保持。
再参照图4,第三时间t2可相应于从电力和/或时钟未被提供给系统处理器22的状态改变为电力和时钟被提供给系统处理器22的状态所期望的、有用的和/或需要的时间。
然而,示例实施例不限于此。例如,第三时间t2可比从系统处理器22未被提供电力和/或时钟的状态改变为系统处理器22被提供电力和时钟的状态所期望的、有用的和/或需要的时间更长等。
再参照图2,系统处理器22可识别第二滴答信号是否已经出现(S250)。当识别到第二滴答信号还没有被产生时(在S250中否),系统处理器22可检查(例如,持续检查、周期性地检查、在期望的时间检查等)第二滴答信号是否已经被产生。
另一方面,当识别到第二滴答信号已经出现时(在S250中是),系统处理器22可执行周期性处理(S260)。系统处理器22可在周期性处理能够被执行的状态下接收第二滴答信号。
例如,参照图7,当接收到第二滴答信号42时,系统处理器22可识别到第二滴答信号42已经被产生。
由于系统处理器22已经在周期性处理30能够被执行的状态下接收到第二滴答信号,因此周期性处理可直接进行而不必经过另一准备过程(例如,接收电力和时钟的供应的过程等)。
最理想的情况是系统处理器22一接收到第二滴答信号42就执行周期性处理30。然而,系统处理器在接收到第二滴答信号42时立即执行周期性处理30几乎是不可能的。换句话说,在接收到时钟信号(诸如,第二滴答信号42)之后系统处理器22能够执行处理之前可能存在延迟。因此,当在接收到第二滴答信号42之后期望的和/或特定的时间段(例如,延迟周期等)已经过去时,系统处理器22可开始周期性处理30的执行。这里,期望的和/或特定的时间段可以是固定的。因此,当周期性处理被分配时,系统处理器22可基于期望的和/或特定的时间段,计算第二滴答信号的第三开始时刻并在计数器21上设置第三开始时刻。此外,计数器21可从第三开始时刻按照期望的和/或预设的时间间隔产生第二滴答信号。
另一方面,再参照图2,由于周期性处理是按照期望的和/或预设的时间间隔被执行(例如,被持续执行、被周期性地执行、被执行一次等)的处理,因此周期性处理的执行系统可重复地执行步骤(S230到S260)。
图8是示出根据一些示例实施例的用于在周期性处理执行系统中产生滴答信号的方法的示例的时序图。
参照图8,从第一开始时刻t0开始每隔第一时间tp的间隔开始执行的周期性处理(例如,多个处理31、32、33等)可被分配给系统处理器。在这种情况下,系统处理器可基于计数器设置第一滴答信号41的第二开始时刻ta、第二滴答信号42的第三开始时刻tb等、以及与由第一滴答信号41和第二滴答信号42中的每一个产生的周期相关的时间。这里,与由第一滴答信号41和/或第二滴答信号42中的每一个产生的周期相关的时间可相应于第一时间tp,但是示例实施例不限于此。
系统处理器可在计数器上在距第一执行的周期性处理31的第一开始时刻t0的第二时间t1之前设置第三开始时刻tb。这里,第二时间t1可相应于在系统处理器被提供第二滴答信号42之后直到周期性处理开始被执行为止期望的、有用的和/或需要的时间,并且第二时间t1从第一开始时刻t0开始向前计算。然而,示例实施例不限于此,并且例如,第二时间可比在系统处理器被提供第二滴答信号42之后直到周期性处理被执行为止期望的、有用的和/或需要的时间更长等。
计数器可从第三开始时刻tb按照第一时间tp的间隔产生第二滴答信号42,但是不限于此。例如,计数器可在第三开始时刻tb、在从第三开始时刻tb已经过去第一时间tp之后的时刻(tb+tp)、以及在从第三开始时刻tb已经过去两倍的第一时间tp之后的时刻(tb+2tp)中的每一个产生第二滴答信号42等。
系统处理器可基于计数器在距第三开始时刻tb的第三时间t2等之前设置第二开始时刻ta。这里,第三时间t2可相应于向系统处理器提供电力和时钟所期望的、有用的和/或需要的时间,并且第三时间t2从第三开始时刻tb开始向前计算。然而,示例实施例不限于此,并且第二时间可例如比向系统处理器提供电力和时钟所期望的、有用的和/或需要的时间更长等。
计数器可从第二开始时刻ta按照第一时间tp的间隔产生第一滴答信号41等。例如,计数器可在第二开始时刻ta、在从第二开始时刻ta已经过去第一时间tp之后的时刻(ta+tp)、以及在从第二开始时刻ta已经过去两倍的第一时间tp之后的时刻(ta+2tp)中的每一个产生第一滴答信号41。
计数器可在产生第一滴答信号41时向唤醒逻辑提供第一滴答信号41。唤醒逻辑可根据第一滴答信号41的接收为系统处理器提供周期性处理可被执行的第一状态
作为示例,在唤醒逻辑中包括的时钟管理单元和/或电力管理单元可根据第一滴答信号41的接收在第二开始时刻ta创造时钟和/或电力被提供给系统处理器的第一状态。在这种情况下,时钟和/或电力可在第三开始时刻tb被提供给系统处理器。
作为另一示例,在唤醒逻辑中包括的时钟管理单元和/或电力管理单元可在从第二开始时刻ta已经过去第一时间tp之后的时刻(ta+tp)接收第一滴答信号41。在时刻(ta+tp),仅电力被提供给系统处理器,并且没有时钟被提供。因此,电力管理单元可继续向系统处理器供应电力,并且时钟管理单元可向系统处理器额外地提供时钟。在这种情况下,时钟可在从第三开始时刻tb已经过去第一时间tp之后的时刻(tb+tp)被额外地提供给系统处理器。
作为另一示例,在唤醒逻辑中包括的时钟管理单元和/或电力管理单元可在从第二开始时刻ta已经过去两倍的第一时间tp之后的时刻(ta+2tp)接收第一滴答信号41。在时刻(ta+2tp),电力和时钟被提供给系统处理器。因此,电力管理单元和时钟管理单元可向系统处理器持续提供电力和时钟。
作为结果,唤醒逻辑在例如第二开始时刻ta、在从第二开始时刻ta已经过去第一时间tp之后的时刻(ta+tp)、以及在已经从第二开始时刻ta已经过去两倍的第一时间tp之后的时刻(ta+2tp)中的每一个开始向系统处理器提供时钟和/或电力,并且可创造系统处理器可执行周期性处理的第一状态。
另一方面,如果系统处理器处于周期性处理可被执行的第一状态,则系统处理器可从计数器接收第二滴答信号42。系统处理器可根据第二滴答信号42的接收在第二时间t1过去的时刻开始周期性处理(例如,处理31、32、33等)的执行。
例如,系统处理器可在第三开始时刻tb、在从第三开始时刻tb已经过去第一时间tp之后的时刻(tb+tp)、以及在从第三开始时刻tb已经过去两倍的第一时间tp之后的时刻(tb+2tp)中的每一个接收第二滴答信号42。因此,周期性处理的执行可在第一开始时刻t0、在从第一开始时刻t0已经过去第一时间tp之后的时刻(t0+tp)、以及在从第一开始时刻t0已经过去两倍的第一时间tp之后的时刻(t0+2tp)中的每一个开始。
如果在不使用第一滴答信号41的情况下仅使用第二滴答信号42执行周期性处理,则处理被实际执行的时间可能改变。
作为示例,如果系统处理器在电力和时钟未被提供给系统处理器的状态下接收到第二滴答信号42,则系统处理器被提供电力和时钟,并且随后系统处理器可执行周期性处理。即,接收电力和时钟所期望的、有用的和/或需要的时间需要额外地过去,使得周期性处理的执行可开始。
作为另一示例,当系统处理器在仅电力被提供给系统处理器并且没有时钟被提供的状态下接收到第二滴答信号42时,系统处理器被提供时钟并且然后可执行周期性处理。即,接收时钟所期望的、有用的和/或需要的时间需要额外地过去,使得周期性处理的执行可开始。
即,在仅第二滴答信号42被使用而没有第一滴答信号41的情况下,系统处理器具有难以在精确确定的时刻开始周期性处理的执行的问题。
然而,根据以上描述的一些示例实施例,由于周期性处理执行系统在接收到第二滴答信号之前向系统处理器提供电力和/或时钟,因此具有能够按照准确的时序执行周期性处理的效果。
图9是示出根据一些示例实施例的用于在周期性处理执行系统中经由时钟管理单元向系统处理器提供时钟的方法的示例的示图。
参照图9,唤醒逻辑23可根据第一滴答信号的接收向时钟管理单元25发送使能信号EN。
时钟管理单元25可经由振荡器51被提供第一时钟(CLK_I)。
根据使能信号EN的接收,时钟管理单元25可使用第一时钟(CLK_I)产生将被提供给至少一个系统处理器的第二时钟(CLK_O)。
例如,时钟管理单元25包括锁相环(PLL)电路、延迟锁定环(DLL)电路等,并且可根据使能信号EN的接收产生第二时钟(CLK_O)并向系统处理器提供第二时钟(CLK_O)。
在结束详细描述时,本领域中的技术人员将理解在大体上没有脱离本公开的原理的情况下可对示例实施例进行许多改变和修改。因此,公开的示例实施例仅用于一般性的和描述性的意义并且不是为了限制的目的。

Claims (20)

1.一种周期性处理执行系统,包括:
系统处理器,被配置为从第一开始时刻开始按照第一时间间隔周期性地执行第一周期性实时处理;
唤醒逻辑,被配置为发送促使系统处理器进入能够执行第一周期性实时处理的第一状态的至少一个信号;
计数器,被配置为:从第二开始时刻开始按照第一时间间隔向唤醒逻辑提供第一滴答信号,其中,第二开始时刻早于第一开始时刻;并且从第三开始时刻开始按照第一时间间隔向系统处理器提供第二滴答信号,其中,第三开始时刻在第一开始时刻与第二开始时刻之间。
2.如权利要求1所述的周期性处理执行系统,还包括:
电力管理单元,被配置为向系统处理器提供电力;
时钟管理单元,被配置为向系统处理器提供时钟信号;
其中,所述唤醒逻辑还被配置为基于第一滴答信号控制电力管理单元和时钟管理单元,使得电力和时钟信号被提供给系统处理器。
3.如权利要求1所述的周期性处理执行系统,其中,系统处理器还被配置为:当第一周期性实时处理被分配给系统处理器时,在计数器上设置第二开始时刻、第三开始时刻和第一时间间隔。
4.如权利要求3所述的周期性处理执行系统,其中,系统处理器还被配置为将第三开始时刻设置在第二时间间隔期满之前,其中,第二时间间隔从第一开始时刻开始向前计算。
5.如权利要求4所述的周期性处理执行系统,其中,第二时间间隔相应于从第二滴答信号被提供给系统处理器到第一周期性实时处理被开始执行所需要的时间。
6.如权利要求4所述的周期性处理执行系统,其中,第二时间间隔比从第二滴答信号被提供给系统处理器到第一周期性实时处理被开始执行所需要的时间更长。
7.如权利要求4所述的周期性处理执行系统,其中,系统处理器还被配置为将第二开始时刻设置在第三时间间隔期满之前,其中,第三时间间隔从第三开始时刻开始向前计算。
8.如权利要求7所述的周期性处理执行系统,其中,第三时间间隔相应于系统处理器进入第一状态所需要的时间。
9.如权利要求7所述的周期性处理执行系统,其中,第三时间间隔比系统处理器进入第一状态所需要的时间更长。
10.一种周期性处理执行系统,包括:
系统处理器,被配置为从第一开始时刻开始按照第一时间间隔周期性地执行第一周期性实时处理;
计数器,被配置为从第二开始时刻开始按照第一时间间隔产生第一滴答信号,其中,第二开始时刻早于第一开始时刻;
电力管理单元,被配置为向系统处理器提供电力;
时钟管理单元,被配置为向系统处理器提供时钟信号;
唤醒逻辑,被配置为基于第一滴答信号控制电力管理单元和时钟管理单元向系统处理器提供电力和时钟信号;
其中,所述计数器还被配置为从第三开始时刻开始按照第一时间间隔产生第二滴答信号,其中,第三开始时刻在第一开始时刻与第二开始时刻之间;
所述系统处理器还被配置为基于第二滴答信号开始第一周期性实时处理的执行。
11.如权利要求10所述的周期性处理执行系统,其中,系统处理器还被配置为:当第一周期性实时处理被分配给系统处理器时,在计数器中设置第二开始时刻、第三开始时刻和第一时间间隔。
12.如权利要求11所述的周期性处理执行系统,其中,系统处理器还被配置为将第三开始时刻设置在第二时间间隔期满之前,其中,第二时间间隔从第一开始时刻开始向前计算。
13.如权利要求12所述的周期性处理执行系统,其中,第二时间间隔相应于从第二滴答信号被提供给系统处理器到第一周期性实时处理被开始执行所需要的时间。
14.如权利要求12所述的周期性处理执行系统,其中,系统处理器还被配置为将第二开始时刻设置在第三时间间隔期满之前,其中,第三时间间隔从第三开始时刻开始向前计算。
15.如权利要求14所述的周期性处理执行系统,其中,第三时间间隔相应于向系统处理器提供电力和时钟信号所需要的时间。
16.一种片上系统,包括:
接口,被配置为从主机接收对于将被周期性地执行的任务的请求;
周期性处理执行系统,被配置为执行与请求的任务相关的第一周期性实时处理,
其中,第一周期性实时处理是在多个第一时间间隔中的每一个第一时间间隔开始时开始被执行的处理,其中,所述多个第一时间间隔从第一开始时刻计算;
周期性处理执行系统包括:
系统处理器,被配置为执行第一周期性实时处理,
计数器,被配置为:从第二开始时刻开始按照第一时间间隔产生第一滴答信号,其中,第二开始时刻早于第一开始时刻,
从第三开始时刻开始按照第一时间间隔产生第二滴答信号,其中,第三开始时刻在第一开始时刻与第二开始时刻之间,
唤醒逻辑,被配置为从计数器接收第一滴答信号,以发送促使系统处理器进入能够执行第一周期性实时处理的第一状态的至少一个信号,
其中,系统处理器被配置为:
当第一周期性实时处理被分配给系统处理器时,在计数器中设置第二开始时刻、第三开始时刻和第一时间间隔,
基于第二滴答信号开始第一周期性实时处理的执行。
17.如权利要求16所述的片上系统,其中,系统处理器还被配置为将第三开始时刻设置在第二时间间隔期满之前,其中,第二时间间隔从第一开始时刻开始向前计算。
18.如权利要求17所述的片上系统,其中,第二时间间隔相应于从第二滴答信号被提供给系统处理器到第一周期性实时处理被开始执行所需要的时间。
19.如权利要求17所述的片上系统,其中,系统处理器还被配置为将第二开始时刻设置在第三时间间隔期满之前,其中,第三时间间隔从第三开始时刻开始向前计算。
20.如权利要求19所述的片上系统,其中,第三时间间隔相应于系统处理器进入第一状态所需要的时间。
CN201811343565.4A 2017-12-13 2018-11-13 周期性处理执行系统和片上系统 Pending CN109918336A (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
KR10-2017-0170935 2017-12-13
KR1020170170935A KR102453689B1 (ko) 2017-12-13 2017-12-13 주기적 프로세스 처리 시스템 및 시스템 온 칩

Publications (1)

Publication Number Publication Date
CN109918336A true CN109918336A (zh) 2019-06-21

Family

ID=66696807

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201811343565.4A Pending CN109918336A (zh) 2017-12-13 2018-11-13 周期性处理执行系统和片上系统

Country Status (4)

Country Link
US (1) US11237588B2 (zh)
KR (1) KR102453689B1 (zh)
CN (1) CN109918336A (zh)
TW (1) TWI795475B (zh)

Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20040221187A1 (en) * 2003-02-06 2004-11-04 Stmicroelectronics S.A. Microprocessor comprising operating modes with low current consumption
CN1845624A (zh) * 2005-04-06 2006-10-11 大唐移动通信设备有限公司 移动终端的待机处理方法以及装置
US20060256907A1 (en) * 2005-05-13 2006-11-16 Freescale Semiconductor Inc. Real time clock
US20070005995A1 (en) * 2005-06-30 2007-01-04 Kardach James P Power management system for computing platform
US20130111092A1 (en) * 2011-10-28 2013-05-02 Daniel S. Heller System and method for adjusting power usage to reduce interrupt latency
CN106063304A (zh) * 2014-03-11 2016-10-26 华为技术有限公司 用于基于消息的细粒度片上系统功率门控的系统和方法

Family Cites Families (18)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE59914852D1 (de) 1998-03-10 2008-10-09 Nxp Bv System zum übertragen von daten
KR20050077950A (ko) 2004-01-30 2005-08-04 삼성전자주식회사 무선 내장 시스템에서 운영체계의 타이머를 보상하기 위한방법
US7626576B2 (en) * 2004-03-16 2009-12-01 Free Alliance Sdn Bhd Wireless transceiver system for computer input devices
US7463872B2 (en) 2005-02-24 2008-12-09 Research In Motion Limited Methods and apparatus for controlling a gain state of a wireless receiver operating in an idle mode
EP2101232A1 (en) 2006-10-24 2009-09-16 Triphase NV A reliable system for real-time process control
ATE538616T1 (de) * 2007-02-01 2012-01-15 Nxp Bv Steuerung der wachzeit einer mobilvorrichtung
KR101459140B1 (ko) * 2007-12-26 2014-11-07 엘지전자 주식회사 전원관리 제어 장치 및 방법
KR101018465B1 (ko) 2009-04-06 2011-03-02 주식회사 포스코아이씨티 안전등급 plc의 타임 틱 동기화 장치
EP2481175A1 (en) 2009-09-21 2012-08-01 Koninklijke Philips Electronics N.V. Asynchronous transmission with double wake up
US8774050B2 (en) 2010-11-09 2014-07-08 Cisco Technology, Inc. Dynamic wake-up time adjustment based on designated paths through a computer network
JP5555200B2 (ja) 2011-04-14 2014-07-23 レノボ・シンガポール・プライベート・リミテッド タッチ・パネルを備える電子機器をウェイク・アップする方法および電子機器
US10009842B2 (en) 2011-06-09 2018-06-26 Thomson Licensing Method for exiting a low-consumption standby mode, and associated device
US8775838B2 (en) * 2012-02-01 2014-07-08 Texas Instruments Incorporated Limiting the number of unexpected wakeups in a computer system implementing a power-saving preemptive wakeup method from historical data
US9317105B2 (en) 2013-01-09 2016-04-19 Htc Corporation Method for performing application wake-up management for a portable device by classifying one application wake-up event of a plurality of application wake-up events as a triggering event for the other application wake-up events
TWI482012B (zh) 2013-07-01 2015-04-21 Wistron Corp 電腦及其喚醒方法
US9958933B2 (en) * 2015-06-04 2018-05-01 Apple Inc. Opportunistic waking of an application processor
KR102392113B1 (ko) 2016-01-20 2022-04-29 삼성전자주식회사 전자 장치 및 전자 장치의 음성 명령 처리 방법
CN107423135B (zh) * 2017-08-07 2020-05-12 上海兆芯集成电路有限公司 均衡装置以及均衡方法

Patent Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20040221187A1 (en) * 2003-02-06 2004-11-04 Stmicroelectronics S.A. Microprocessor comprising operating modes with low current consumption
CN1845624A (zh) * 2005-04-06 2006-10-11 大唐移动通信设备有限公司 移动终端的待机处理方法以及装置
US20060256907A1 (en) * 2005-05-13 2006-11-16 Freescale Semiconductor Inc. Real time clock
US20070005995A1 (en) * 2005-06-30 2007-01-04 Kardach James P Power management system for computing platform
US20130111092A1 (en) * 2011-10-28 2013-05-02 Daniel S. Heller System and method for adjusting power usage to reduce interrupt latency
CN106063304A (zh) * 2014-03-11 2016-10-26 华为技术有限公司 用于基于消息的细粒度片上系统功率门控的系统和方法

Also Published As

Publication number Publication date
KR20190070439A (ko) 2019-06-21
US20190179363A1 (en) 2019-06-13
TWI795475B (zh) 2023-03-11
US11237588B2 (en) 2022-02-01
KR102453689B1 (ko) 2022-10-11
TW201928594A (zh) 2019-07-16

Similar Documents

Publication Publication Date Title
US10409936B2 (en) Method and apparatus for modelling power consumption of integrated circuit
US20170364473A1 (en) Program counter alignment across a reconfigurable hum fabric
EP1267287B1 (en) High-level synthesis apparatus and method, method for producing logic circuit using the high-level synthesis method, and recording medium
CN101889253B (zh) 集成电路时钟管理技术
CN112753034A (zh) 仿真和原型制作中重叠时钟和数据传播的相干可观测性和可控制性
Elwasif et al. A dependency-driven formulation of parareal: parallel-in-time solution of PDEs as a many-task application
US8645117B2 (en) Clock simulation device and methods thereof
JP5063511B2 (ja) クロック駆動の論理回路においてタイミング・クロージャを達成するために制御ロジックのマルチソース・ネットワークを効率的に利用する方法およびシステム
Dong et al. Wavepipe: Parallel transient simulation of analog and digital circuits on multi-core shared-memory machines
US10599800B2 (en) Formal clock network analysis, visualization, verification and generation
CN109918336A (zh) 周期性处理执行系统和片上系统
Abdurohman et al. Software for Simplifying Embedded System Design Based on Event-Driven Method
CN114365065A (zh) 多复位和多时钟同步器以及同步多周期复位同步电路
US9256405B1 (en) Code generation based on regional upsampling-based delay insertion
US10423743B2 (en) Context-dependent useful skew estimation for optimization, placement, and clock tree synthesis
Semba et al. Comparison of RTL conversion and GL conversion from synchronous circuits to asynchronous circuits
JP5920842B2 (ja) シミュレーション装置、シミュレーション方法、およびプログラム
Chowdhury et al. Leveraging automatic high-level synthesis resource sharing to maximize dynamical voltage overscaling with error control
CN111026258B (zh) 处理器及降低电源纹波的方法
US7865348B1 (en) Performance of circuit simulation with multiple combinations of input stimuli
Liu et al. An iterative division algorithm for FPGAs
JP5942417B2 (ja) シミュレーション装置、シミュレーション方法及びシミュレーションプログラム
US20230266815A1 (en) Dvfs controlling method, semiconductor device and semiconductor system using the dvfs controlling method
US10162922B2 (en) Hybrid clock gating methodology for high performance cores
Harrath et al. Timed SystemC Waiting–State Automata

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination