CN109841540B - 新式气体喷射器、电浆处理系统及电浆处理方法 - Google Patents

新式气体喷射器、电浆处理系统及电浆处理方法 Download PDF

Info

Publication number
CN109841540B
CN109841540B CN201811374602.8A CN201811374602A CN109841540B CN 109841540 B CN109841540 B CN 109841540B CN 201811374602 A CN201811374602 A CN 201811374602A CN 109841540 B CN109841540 B CN 109841540B
Authority
CN
China
Prior art keywords
gas
gas injector
flow regulating
downstream end
plasma processing
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
CN201811374602.8A
Other languages
English (en)
Other versions
CN109841540A (zh
Inventor
刘晏宏
陈铭锋
刘立熙
陈哲夫
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Original Assignee
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Taiwan Semiconductor Manufacturing Co TSMC Ltd filed Critical Taiwan Semiconductor Manufacturing Co TSMC Ltd
Publication of CN109841540A publication Critical patent/CN109841540A/zh
Application granted granted Critical
Publication of CN109841540B publication Critical patent/CN109841540B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45565Shower nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45578Elongated nozzles, tubes with holes
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45587Mechanical means for changing the gas flow
    • C23C16/45591Fixed means, e.g. wings, baffles
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/321Radio frequency generated discharge the radio frequency energy being inductively coupled to the plasma

Abstract

本揭露公开了一种新式气体喷射器、电浆处理系统及电浆处理方法。用于半导体处理系统的气体喷射器,包括管子和安装在管子的下游端上的至少一个喷嘴头,其中至少一个喷嘴允许流体连通,以将气体从管子的上游端经过气体喷射器的至少一个喷嘴排放到围绕管子的下游端的环境大气中,其中至少一个喷嘴包括:主体,以及至少一个适配器,适配器包括多个流量调节元件以改变在下游端气体的流动方向,其中多个流量调节元件各自构造和配置成使得在气体喷射器内表面上的膜积聚减少。

Description

新式气体喷射器、电浆处理系统及电浆处理方法
技术领域
本揭露涉及用于半导体处理系统的气体喷射器与电浆处理系统及其方法。
背景技术
半导体处理涉及许多不同的化学和物理过程。使用各种材料的多层相互关联的图案构建集成电路;透过化学气相沉积(chemical vapor deposition,CVD)、物理气相沉积(physical vapor deposition,PVD)及/或磊晶生长来产生材料层。使用光阻剂掩模和湿式和干式蚀刻技术将一些层图案化。随着集成电路的复杂性不断地增加,在制造过程中经常使用透过电浆处理形成的膜,例如,用于互连介电层的氧化物或氮化物的沉积和蚀刻。许多在反应室中进行的这些过程会在反应室的内表面上以及设备元件的暴露表面上(例如,气体喷射器、台、厚度监视器、视窗、加热器、电线等)形成膜。反应室内的膜积聚(filmbuildup)可能在制程过程中爆发,从而在基板表面上产生不可接受的高程度的微粒污染。在某些情况下,随着时间的推移,膜积聚也会影响半导体加工条件,例如气体压力和流速。为了克服这些问题,需要频繁和常规地清洁半导体处理反应室,以实现和保持高产量。
可以透过称为非原位清洁制程(ex-situ cleaning process)的湿式清洁制程从反应室的内表面去除不希望的膜积聚。这种从诸如管式炉等的反应器中去除污染物的方法是耗时的,其涉及物理性地拆卸管式炉并移除晶圆舟皿(wafer boat),以允许管子和晶圆舟皿冷却,将它们浸泡在湿式化学浴中,用去离子水冲洗它们,使它们干燥,重新安装,热稳定,检查泄漏,并处理重新认证以确保管子清洁没有改变膜沉积条件。整个过程可能需要几个小时,且在此期间系统无法进行晶圆生产。此外,非原位清洁增加了管子破裂、交叉污染和处理大量酸性清洁溶液的风险。
另一方面,也可以透过使用电浆辅助蚀刻的干式清洁方法从反应室的内表面除去膜积聚,这是基于在同一反应室的原位电浆源或与反应室分开的远程电浆源的原位清洁制程(in-situ cleaning process)。这种原位电浆清洁使用气相化学反应,透过将膜积聚转化为可以从反应室真空泵出的挥发性物质从而去除膜积聚。与湿式清洁相比,此方法透过使用反应性电浆可以相当快速地去除沉积物,从而最小化工具停机时间,然而,当清洁在狭窄空间(例如,气体喷射器管子的内表面)中形成的膜积聚时,此方法仍然缺乏效率。此外,由于重复的清洁过程,气体喷射器喷嘴中的开口尺寸可能由于过度的过蚀刻而改变,这将导致喷射压力的变化。这种压力变化可能会影响腔室的环境,并且可能会不利地影响加工(蚀刻或沉积)特性。因此,可能需要频繁地更换气体喷射器喷嘴头,这将增加维护成本并影响此过程的经济性。因此,需要一种新的气体喷射器设计和一种可以有效清洁气体喷射器喷嘴头的方法,以最小化膜积聚的形成,使潜在的污染最小化并提高产量。而且,需要进一步最小化对喷嘴头的损害,使得喷射压力和加工特性不会受到不利的影响。
发明内容
本揭露提供一种用于半导体处理系统的气体喷射器,包含:管子以及至少一喷嘴头。至少一喷嘴头安装在管子的下游端上,其中至少一喷嘴允许流体连通以将气体从管子的上游端透过气体喷射器的至少一喷嘴排放到围绕管子的下游端的环境大气中,其中至少一喷嘴包含:主体以及至少一适配器。至少一适配器包含多个流量调节元件,以改变下游端的气体的流动方向,其中,流量调节元件各自构造和配置成使得在气体喷射器的多个内表面上的膜积聚减少。
本揭露提供一种电浆处理系统,包含:气体输送系统、半导体处理室和气体喷射器。气体输送系统被配置为提供至少一种气体;半导体处理室,连接到气体输送系统;气体喷射器被配置为连接气体输送系统和半导体处理室,其中气体喷射器包含管子和安装在管子的下游端上的至少一喷嘴头,其中至少一喷嘴允许流体连通以将气体从管子的上游端经由气体喷射器的至少一喷嘴排出到围绕管子的下游端的环境大气中,其中至少一喷嘴包含:主体和至少适配器,其中适配器包含多个流量调节元件,以用于改变下游端的气体的流动方向,其中流量调节元件被配置为使得在气体喷射器的多个内表面上的膜积聚减少。
本揭露提供一种电浆处理方法,包含:在半导体处理反应室中处理多个半导体晶圆;改变气体喷射器内的气体流动,使气体沿多个方向行进,以减少气体喷射器的至少一内表面上的膜积聚;以及执行电浆增强半导体制程以清洁在半导体处理反应室和气体喷射器上形成的膜积聚。
附图说明
当结合附图阅读时,从以下详细描述中可以最好地理解本揭露的各方面。应注意,各种特征不一定按比例绘制。实际上,为了清楚说明,可以任意增加或减小各种特征的尺寸和几何形状。
图1绘示根据本揭露的一些实施例中整合电浆处理站的半导体制造生产系统的区块图;
图2A绘示根据本揭露的一些实施例中利用气体喷射器进行电浆处理的电浆处理系统;
图2B绘示根据本揭露的一些实施例中在主体外部具有适配器的气体喷射器的喷嘴头的剖面图;
图2C绘示根据本揭露的一些实施例中在主体内部具有适配器的气体喷射器的喷嘴头的剖面图;
图2D绘示根据本揭露的一些实施例中在各种几何配置下具有两个元件的适配器的剖面视图和俯视图;
图2E绘示根据本揭露的一些实施例中具有多个适配器的气体喷射器的喷嘴头的剖面图;
图2F绘示根据本揭露的一些实施例中具有多个适配器的气体喷射器的喷嘴头的剖面图;
图3A至图3D绘示根据本揭露的一些实施例中具有多个适配器的气体喷射器的喷嘴头的剖面视图和俯视图;
图4A至图4D绘示根据本揭露的一些实施例中具有各种适配器的气体喷射器的喷嘴头的剖面视图;
图5绘示根据本揭露的一些实施例中在反应室的半导体基板上进行电浆处理和反应室的干式清洁的方法的流程图。
具体实施方式
以下公开内容描述了用于实现本主题的不同特征的各种示例性实施例。以下描述元件和配置的具体示例以简化本揭露。当然,这些仅仅是示例,而不是限制性的。例如,应当理解,当一个元件被称为“连接到”或“耦合到”另一个元件时,它可以直接连接到或耦合到另一个元件,或者可以存在一个或多个中间元件。
所提出的公开内容提供了在半导体处理反应室中新型气体喷射器的各种实施例,例如,电浆干式蚀刻、电浆增强化学气相沉积、电浆增强原子层沉积系统等。传统上,在处理反应室中气体喷射器的末端具有管形状,以允许在沉积或清洁过程形成的膜积聚可容易地深入到气体喷射器中,然而这将在原位电浆干式清洁制程中变得难以清洁。在本揭露内容中,透过将各种适配器设计应用于半导体处理反应室中的传统气体喷射器,以最小化膜积聚的形成,从而最大化干式清洁的效率(例如,缩短清洁时间因而缩短设备停机时间),以最小化潜在的微粒污染,并提高设备的产量。这些适配器还可最小化对气体喷射器头部的损坏,从而最小化对加工特性的改变。最后,适配器可以容易地整合在反应室中当前气体喷射器设计的端部的内部及/或外部,以最小化对现有系统的修改成本。因此,可以有利地避免上述问题。
将结合附图来理解对示例性实施例的描述,其中附图视为是整个说明书描述的一部分。在描述中,相对术语如“低于”、“高于”、“水平”、“垂直”、“上方”、“下方”、“上面”、“下面”、“顶部”和“底部”以及其衍生词(例如,“水平地”、“向下地”、“向上地”等)应被解释为如所描述的或如所讨论的附图中所示的方向。这些相对术语是为了便于描述,并不要求设备以特定方向制造或操作。
图1绘示根据本揭露的一些实施例中将电浆处理站106与新型气体喷射器设计整合的半导体制造生产系统100的区块图。应注意,系统100仅是示例,并不旨在限制本揭露。因此,应当理解,可以在图1的系统100之前、期间和之后提供附加操作,并且可以仅在此简要描述一些其他操作。
系统100包括多个处理站102、106,以及位于处理站102、106之间的在线检测站104。在处理站102、106中进行的集成电路制造过程的示例包括清洁、光刻、湿式蚀刻、干式蚀刻、介电质沉积、金属沉积和本领域已知的任何半导体制程。在一些实施例中,处理站102是光刻站,并且处理站106是电浆处理站(例如,电浆增强沉积或蚀刻站)。可以在每个处理站102/106中创建至少一个特征,包括光阻剂图案、金属接触、蚀刻沟槽、隔离、通孔结构/孔、互连线等。
至少一个在线检测站104耦合在处理站102和处理站106之间,其中在传送来自处理站102的至少一个晶圆到电浆处理站106之前可以检查其临界尺寸(criticaldimension)。至少一个储存站(未绘示)可以耦合到在线检测站104,其中可从生产线中取出由在线检测站104确定的具有超出范围临界尺寸、分布或缺陷的晶圆,并储存在储存站中以进行再处理或拒收,而不是转移到电浆处理站106。
在一些实施例中,检测站104可以是显影后检查系统,其在曝光和显影之后,提供晶圆上光阻剂层中的图案的临界尺寸的测量。在一些实施例中,晶圆可以是具有导电特征的硅(Si)晶圆或在前段(front-end-of-line,FEOL)制程之后具有介电层和互连/通孔结构的晶圆。通常,晶圆表面上的光阻剂图案的尺寸可以透过临界尺寸扫描电子显微镜(critical dimension-scanning electron microscope,CD-SEM)测量。由于传统显微镜光学检测系统缺乏灵敏度和分辨率,因此临界尺寸扫描电子显微镜已被广泛用于检测传统显微镜光学检测系统难以检测的微小的物理缺陷。根据各种实施例,除临界尺寸扫描电子显微镜系统外,还可以使用其他类型的用于临界尺寸检测的先进自动化处理控制技术,例如使用具有多方位角(multi-Azimuth angles)和多通道光学(multi-channel optics)的散射测量(scatterometry)的光学临界尺寸(optical critical dimension,OCD)测量系统、法向入射光谱偏振反射(normal-incidence spectroscopic polarized reflectance)和椭圆测量法(ellipsometry)。
仍然参考图1,来自在线检测站104的显微图像及/或多个临界尺寸值可以由区域计算机114储存和预处理。预处理的示例可以包括将多个值和多个测试位置重建成二维(2D)图,其将进一步详细描述如下。在一些实施例中,图像处理操作可以由区域计算机114执行,以作为预处理的一部分,以根据预定义的阈值或标准(例如,线宽、不规则形状、不均匀性等)自动地将设计标准与晶圆表面的显微图像进行比较。
在一些实施例中,不能满足预定阈值或标准的晶圆(例如,确定为有缺陷或不均匀的晶圆,其不能在稍后的制程中仅透过调节温度来校准),因此被确定为没有资格继续加工。根据各种实施例,这种晶圆透过传送器从在线检测站104传送到储存站(未绘示)的收容盒以进行再处理或抛弃。另一方面,如果确定晶圆满足预定阈值或标准,则晶圆将透过传送器经由交换室105传送到电浆处理站106以进行进一步处理。在一些实施例中,阈值可以根据应用而变化,并且可以由制造商设置。在一些实施例中,处理站(102、106)和在线检测站(104)可位于不同的处理隔间区域中并且可以透过自动材料处理系统(automaticmaterial handling system,AMHS)耦合,其中晶圆/收容盒的移动系透过在高架的轨道上或在地面上的站点之间的自动材料处理系统运载工具。
区域计算机112、114和116各自透过连接108耦合到远程计算机资源110。在一些实施例中,连接108可以包括以太网电缆、光纤、无线通讯媒体及/或本领域已知的其他网络。应当理解,可以在与处理站102、106和在线检测站104相关联的区域计算机112、116、114与远程计算机资源110之间部署其他连接和中间电路,以便于互连。
仍然参考图1,根据一些实施例,区域计算机116配置电浆处理站106上的电浆处理条件(例如,压力、气体、温度、功率等),以对从在线检测站104接收的晶圆进行电浆处理。如下面进一步详细讨论的,在一些实施例中,区域计算机116向电浆处理站106内的温度控制单元、压力控制单元、电浆控制单元和气体控制单元提供控制信号。在一些实施例中,根据半导体处理的预定配方生成来自区域计算机116的控制信号。还可以根据基于在线检查结果来自远程计算机资源110的分析结果生成控制信号。在一些实施例中,区域计算机116还配置成向上述控制单元提供控制,以产生用于反应室的电浆干式清洁的条件。
尽管图1所示实施例中的系统100仅包括两个处理站102/106、一个在线检测站104、两个交换室103/105、三个区域计算机112/114/116和一个远程计算机资源110,应理解,图1的实施例仅用于说明目的。系统100可以包括具有任何期望数量的在线检测站和储存站的任何期望数量的处理站,同时保持在本揭露的范围内。此外,在一些实施例中,在线检测站104可以耦合到两个或更多个处理站102/106及/或两个或更多个储存站。在一些实施例中,两个或更多个在线检测站104可以位于两个处理站之间,以使用不同的技术(例如,电及/或光学测量)提供互补的检查。
图2A绘示根据本揭露的一些实施例中利用气体喷射器204进行电浆处理的电浆处理系统200。处理室202包括至少一个电浆阴极203,多个气体喷射器204,以及连接到压力控制单元(例如,真空泵)的至少一个真空接口205。在一些实施例中,多个气体喷射器204可以位于至少一个电浆阴极203的附近(例如,在阴极后面)。至少一个电浆阴极203耦合到射频(radio frequency,RF)电源和相应的匹配电路(未绘示),其工作频率从几十千赫兹(KHz)到几十兆赫兹(MHz),压力为几毫托(mTorr)到几托。根据一些实施例,透过在至少一个电浆阴极203和晶圆载台208之间施加交流(AC)电压,电浆206可形成在电浆阴极203上(即,包含电离的原子或分子的气体),并且可在电浆阴极203和晶圆载台208之间的空间上延伸到达半导体晶圆210的表面。在一些实施例中,对于电浆沉积处理站,至少一个电浆阴极203可以直接连接到直流(DC)放电电源,以在几毫托(mTorr)到几托(Torr)的压力下形成电浆206。在一些实施例中,诸如电感耦合电浆(inductively coupled plasma,ICP)、电子回旋共振(electron cyclotron resonance,ECR)、微波和螺旋波的技术可以与射频电源整合,以产生具有所需沉积及/或蚀刻性能的高密度放电。
根据各种实施例,电浆处理系统200可以是电浆蚀刻处理站或电浆增强沉积处理站(例如,电浆增强化学气相沉积(plasma enhanced chemical vapor deposition,PECVD)、电浆增强原子层沉积(plasma enhanced atomic layer deposition,PEALD)等)。根据这里描述的示例性实施例,电浆处理系统200可以用于处理半导体晶圆210的表面层。例如,根据需要,光阻剂层、掩模层或半导体晶圆的其他层中的任何一个都可以根据指定的配方,在电浆处理步骤之前或之后,或其任何组合,进行处理。此配方还规定了用于在电浆处理室202中建立适当环境的条件,以在半导体晶圆210上实现所需的特征和特征尺寸。在一些实施例中,配方还可以指定电浆处理条件,包括要引入电浆处理室的反应气体的类型及其流速、反应期间的压力、提供给电浆阴极203或晶圆载台208的射频信号的功率和频率。在一个实施例中,反应室202可由不锈钢、石英、碳化硅(SiC)或本领域常用的任何其它合适材料组成。可以提供常与反应室202处理元件结合使用的其他常规附件。
根据一些实施例,晶圆载台208的背面可以耦合到电接地。在一些实施例中,晶圆载台208也可以耦合到射频电源。尽管未在图中绘示,但是对于本领域普通技术人员来说,可以理解的是,电浆处理室202还可以配备有多个压力计、厚度监测系统(石英晶体监测器、光谱椭偏仪(spectroscopic ellipsometer)、反射高能量电子绕射探测器(reflectionhigh-energy electron diffraction detector,RHEED))、快门、旋转操纵器、视口(viewports)、传输接口等。
在一些实施例中,透过多个气体喷射器204到达电浆处理室202的气体可包括用于电浆处理的氧气(O2)、氩气(Ar)、氮气(N2)、氢气(H2)、氨气(NH3)、一氧化二氮(N2O)、四氟甲烷(CF4)、六氟化硫(SF6)、四氯化碳(CCl4)、甲烷(CH4)、硫化氢(H2S)、甲硅烷(SiH4)、含金属的前驱物等中的至少一种或其组合。
晶圆210可以是体硅基板,但是也可以使用包括II族、III族、IV族或V族元素的其他半导体材料。或者,基板可以是绝缘体上硅(silicon-on-insulator,SOI)基板。在一些实施例中,装置层可以是设置在基板(未绘示)上的多晶硅层,以用于在晶圆210上形成一个或多个多晶硅栅极。在另一个实施例中,装置层可以是金属化层,例如层间介电质(inter-layer dielectric,ILD)或金属间介电质层(inter-metal dielectric layer,IMD),以用于形成互连结构(例如,金属线及/或通孔)。在其他实施例中,装置层可以是使用光刻和蚀刻制程图案化的晶圆210中的任何层。晶圆210可包括许多装置层。此外,装置层可以包括缓冲层(例如,氧化物界面层,未绘示)、蚀刻停止层(例如,氮化硅层、碳化硅层等)等。可以在装置层上形成硬掩模以用作图案化掩模。硬掩模可以包括氧化物、氮氧化硅(SiON)、氮化硅(Si3N4)、氮化钛(TiN)等。
仍然参考图2A,在一些实施例中,半导体处理系统200中的晶圆载台208是静电吸盘(electrostatic chuck),其可以消除由于夹持器指状或环状物引起的边缘效应。由于消除正面接触和较少的移动元件,静电吸盘还可以减少颗粒的产生。同时,静电吸盘还可以为基板提供均匀的射频和热接触。在一些实施例中,电浆处理系统200中的晶圆载台208可配置有用于温度控制的至少一个温度控制元件和至少一个温度感测元件。
在一些实施例中,电浆处理系统200还包括控制单元220,控制单元220包括多个控制单元,其包括气体控制单元222、电浆控制单元224、压力控制单元226和温度控制单元。在一些实施例中,气体控制单元222包括多个反应气体罐以及多个气体供应管线,其中取决于反应类型(例如,沉积或蚀刻)和材料类型,多个反应气体罐包含载气(例如,氩气(Ar)、氮气(N2)、氢气(H2)等)和反应气体(例如,硅甲烷(SiH4)、八氟环丁烷(C4F8)、四氟化碳(CF4)、八氟丙烷(C3F8)、三氟甲烷(CHF3)、六氟乙烷(C2F6)、六氟丙烯(C3F6)、氨气(NH3)、氢气(H2)、氟气(F2)、氯气(Cl2)、四氯化硅(SiCl4)、三氯化硼(BCl3)、溴化氢(HBr)、六氟化硫(SF6)、三氟化溴(BrF3)、三氟化氯(ClF3)、二氟化氙(XeF2)、甲烷(CH4)等)。在一些实施例中,可加入氧分子(O2)以除去表面上不希望的碳氟聚合物残余物。根据一些实施例,尤其在蚀刻氮化硅时可以添加氮分子(N2)。在一些实施例中,当管子沉积物主要包含多晶硅或硅氧化物时,可以排除氢(H2)的气体输入。在一些其他实施例中,氢(H2)清洁气体的输入可用于清洁某些类型的化合物,例如金属硅化物。在一些实施例中,清洁气体包括可用于清洁在电浆蚀刻过程中形成的聚合物。例如,含氯或含溴化合物可用于除去在III-V蚀刻(例如,磷化铟(InP)、砷化镓(GaAs)、磷化镓(GaP)等)期间形成的聚合物残余物。再例如,三氟化氮(NF3)和六氟化硫(SF6)可用于除去在硅(Si)或二氧化硅(SiO2)蚀刻期间形成的氟化碳聚合物残留物。各自包括质量流量控制器(mass flow controller,MFC)、输入阀和输出阀的多个气体供应管线可以由控制计算机116整合,并且可由控制计算机116单独控制。
在一些实施例中,电浆控制单元224可包括至少一个电浆电源至至少一个电浆阴极203和晶圆载台208。在一些实施例中,电浆控制单元224可包括用于清洁电浆反应室的远程电浆源(未绘示)。由远程电浆系统产生的活性物质透过气体控制单元222由载体气体转移到反应室202。电浆源和反应室之间的材料必须能抵抗电浆的侵蚀并且远程电浆源和反应室202之间的距离应保持尽可能短。在远程电浆源中产生清洁电浆以允许使用有效的电浆产生器,并且使管子不受到可能存在于原位形成的电浆的辉光放电(glow discharge)的温度、辐射或轰击。
控制计算机116控制气体控制单元222、电浆控制单元224、压力控制单元226和温度控制单元228。控制计算机116还可以从连接到反应室202的排气管线205的气体分析器(未绘示)接收输入,以检测废气的化学成分。继续参考图1,控制单元220包括处理器、记忆体、输入/输出接口、通讯接口和系统总线。
处理器可包括可操作以控制气体、温度、电浆和压力的操作和性能的任何处理电路。在各个方面,处理器可以实现为通用处理器(general purpose processor)、晶片多处理器(chip multiprocessor,CMP)、专用处理器(dedicated processor)、嵌入式处理器(embedded processor)、数字信号处理器(digital signal processor,DSP)、网络处理器(network processor)、输入/输出(input/output,I/O)处理器、媒体存取控制(mediaaccess control,MAC)处理器、基频处理器(radio baseband processor)、辅助处理器(co-processor),诸如复杂指令集计算机(complex instruction set computer,CISC)微处理器、精简指令集计算机(reduced instruction set computing,RISC)微处理器及/或超长指令集(very long instruction word,VLIW)微处理器的微处理器或其他处理装置。处理器还可以由控制器、微控制器、特殊应用集成电路(application specific integratedcircuit,ASIC)、场可编程门阵列(field programmable gate array,FPGA)、可编程逻辑装置(programmable logic device,PLD)等实现。
在各个方面,可将处理器配置为执行操作系统(operating system,OS)和各种应用程序。操作系统的示例包括通常以商标为名称的操作系统,例如苹果操作系统(AppleOS)、微软操作系统(Microsoft Windows OS)、安卓操作系统(Android OS)和任何其他专有或开放原始码操作系统(open source operating system)。应用的示例包括例如电话应用、相机(例如,数字相机、摄影机)应用、浏览器应用、多媒体播放器应用、游戏应用、讯息应用(例如,电子邮件、短讯、多媒体)、查看器应用程序(viewer application)等等。
在一些实施例中,提供至少一个非暂态性计算机可读储存媒体(non-transitorycomputer-readable storage medium),其上包含计算机可执行指令,其中,当由至少一个处理器执行时,计算机可执行指令使得至少一个处理器执行在此描述的方法的实施例。此计算机可读储存媒体可以包含在记忆体中。
在一些实施例中,记忆体可包括能够储存数据的任何机器可读取或计算机可读取媒体,包括挥发性/非挥发性记忆体(volatile/non-volatile memory)和可移动/不可移动记忆体。记忆体可以包括至少一个非挥发性记忆体单元。非挥发性记忆体单元能够储存一个或多个软件程序。软件程序可以包含例如应用程序、使用者数据、装置数据及/或组态数据、或其组合,在此仅举几个例子。软件程序可以包含由系统300的控制电路314的各种元件执行的指令。
例如,记忆体可以包括只读记忆体(read-only memory,ROM)、随机存取记忆体(random-access memory,RAM)、动态随机存取记忆体(dynamic random-access memory,DRAM)、双倍数据率动态随机存取记忆体(Double-Data-Rate dynamic random-accessmemory,DDR-RAM)、同步动态随机存取记忆体(synchronous dynamic random-accessmemory,SDRAM)、静态随机存取记忆体(static random-access memory,SRAM)、可编程只读记忆体(programmable read-only memory,PROM)、可抹除可编程只读记忆体(erasableprogrammable read-only memory,EPROM)、电子可抹除可编程只读记忆体(electricallyerasable programmable read-only memory,EEPROM)、快闪记忆体(flash memory)(例如,NOR快闪记忆体(NOR flash memory)或NAND快闪记忆体(NAND flash memory))、关联记忆体(content addressable memory,CAM)、聚合物记忆体(例如,铁电聚合物记忆体)、相变记忆体(例如,双性通用记忆体(ovonic memory))、铁电记忆体、硅-氧化物-氮化物-氧化物-硅(silicon-oxide-nitride-oxide-silicon,SONOS)记忆体、磁盘记忆体(例如,软盘、硬盘、光盘、磁盘)或卡(例如,磁卡、光卡)或适于储存讯息的任何其他类型的媒体。
在一个实施例中,记忆体可以包含指令集,其形式为用于执行如本文所述生成一个或多个时序库(timing libraries)的方法的文件。指令集可以以任何可接受形式的机器可读指令储存,包括原始码或各种适当的程序语言。可用于储存指令集的程序语言的一些示例包括但不限于:Java、C、C++、C#、Python、Objective-C、Visual Basic或.NET程序。在一些实施例中,包括编译器或释码器以将指令集转换为机器可执行码以供处理器执行。
在一些实施例中,输入/输出接口可以包括任何合适的机构或元件,以至少使用户能够向系统300的控制电路314提供输入并且使控制电路314能向用户提供输出。例如,输入/输出接口可以包括任何合适的输入机构,包括但不限于按钮、小键盘、键盘、点击轮(click wheel)、触控屏幕或运动传感器(motion sensor)。在一些实施例中,输入/输出接口可包括电容感应机构或多触摸电容感应机构(例如,触控屏幕)。
在一些实施例中,输入/输出接口可以包括可视外围输出装置(visualperipheral output device),以提供用户可见的显示。例如,可视外围输出装置可以包括屏幕,例如液晶显示器(Liquid Crystal Display,LCD)屏幕,其结合到系统300的控制电路314中。作为另一个例子,可视外围输出装置可以包括:可移动显示器或投影系统,以在远离系统300的控制电路314的表面上提供内容显示。在一些实施例中,可视外围输出装置可包括编码器/解码器,也称为编解码器,以将数字媒体数据转换为模拟信号。例如,可视外围输出装置可以包括视频编解码器、音频编解码器或任何其他合适类型的编解码器。
可视外围输出装置还可以包括显示驱动器和用于驱动显示驱动器的电路或两者。可视外围输出装置可在处理器的指示下显示内容。例如,可视外围输出装置可能可以播放媒体回放信息,用于在系统300的控制电路314上实现应用的应用屏幕,关于正在进行的通讯操作的讯息,关于新进的通讯请求的讯息,或设备操作屏幕,在此仅举几个例子。
在一些实施例中,通讯接口可以包括能够将系统300的控制电路314耦合到一个或多个网络及/或附加装置的任何合适的硬件、软件或硬件和软件的组合。通讯接口可被配置为使用任何合适的技术操作,以使用期望的一组通讯协定、服务或操作过程来控制信息信号。通讯接口可以包括适当的物理连接器,以连接无论是有线的还是无线的相应的通讯媒体。
根据一些实施例,通讯系统和方法包括网络。在各个方面,网络可以包括区域网络(local area networks,LAN)以及广域网络(wide area networks,WAN),包括但不限于互联网(internet)、有线传输通道(wired channels)、无线传输通道(wireless channels),包括电话、计算机、有线、无线电、光学或其他电磁传输通道的通讯设备,及其组合,包括能够/与传送数据相关联的其他装置及/或元件。例如,通讯环境包括体内通讯(in-bodycommunications)、各种装置和各种通讯模式,例如无线通讯、有线通讯及其组合。
无线通讯模式包括在点(例如,节点)之间至少部分地利用无线技术的任何通讯模式,所述无线技术包括与无线传输、数据和设备相关联的各种协定和协定的组合。这些点包括,例如,无线设备,例如无线耳机、音响和多媒体装置和设备,例如音响播放器和多媒体播放器、电话,包括手机和无线电话,以及计算机和与计算机相关的装置和元件,例如印表机、诸如电路生成系统404的网络连接机器,及/或任何其他合适的装置或第三方装置。
有线通讯模式包括在点之间利用有线技术的任何通讯模式,所述有线技术包括与有线传输、数据和设备相关联的各种协定和协定的组合。这些点包括例如,音响和多媒体装置和设备,例如音响播放器和多媒体播放器、电话,包括手机和无线电话、以及计算机和计算机相关的设备和组件,例如打印机、网络连接的机器、及/或任何其他合适的装置或第三方装置。在各种实施例中,有线通讯模块可以根据多个有线协定进行通讯。有线协定的示例可以包括通用序列总线(universal serial bus,USB)通讯、RS-232、RS-422、RS-423、RS-485序列协定、FireWire、以太网、光纤通道、音乐数字接口(music instrument digitalinterface,MIDI)、高技术配置(advanced technology attachment,ATA)、串行高技术配置(serial advanced technology attachment,Serial ATA)、PCI Express、T-1(和变体)、工业标准结构(industry standard architecture,ISA)并行通讯、小型计算机系统接口(small computer system interface,SCSI)通讯或外设元件互连(peripheral componentinterconnect,PCI)通讯,在此仅举几个例子。
因此,在各个方面,通讯接口可以包括一个或多个接口,例如,无线通讯接口、有线通讯接口、网络接口、发送接口、接收接口、媒体接口、系统接口、元件接口、开关接口、晶片接口、控制器等。例如,当由无线设备或在无线系统中实现时,通讯接口可以包括无线接口,此无线接口包括一个或多个天线、发射器、接收器、收发器、放大器、滤波器、控制逻辑等。
在各种实施例中,通讯接口可以根据多个无线协定提供语音及/或数据通讯功能。无线协定的示例可以包括各种无线区域网络(wireless local area network,WLAN)协定,包括电机电子工程师协会(Institute of Electrical and Electronics Engineers,IEEE)802.xx系列协定,例如IEEE 802.11a/b/g/n、IEEE802.16、IEEE 802.20等等。无线协定的其他示例可以包括各种无线广域网络(wireless wide area network,WWAN)协定,诸如具有通用封包无线服务(general packet radio service,GPRS)的全球行动通讯系统(global system for mobile communications,GSM)蜂巢式无线电话系统协定、具有1xRTT的分码多重进阶(code division multiple access,CDMA)蜂巢式无线电话通讯系统、GSM增强数据率演进(enhanced data rates for GSM evolution,EDGE)系统、EV-DO系统、EV-DV系统、高速下行封包接入(high speed downlink packet access,HSDPA)系统等等。无线协定的其他示例可以包括无线个人区域网络(personal area network,PAN)协定,例如红外协定,来自蓝牙技术联盟(bluetooth special interest group,SIG)系列协定的协定,包括具有增强型数据速率(enhanced data rate,EDR)的蓝牙规范版本v1.0、v1.1、v1.2、v2.0、v2.0,以及一个或多个蓝牙配置文件等等。无线协定的又一个示例可以包括近场通讯(near-field communication)技术和协定,例如电磁感应(electromagnetic induction,EMI)技术。电磁感应技术的示例可以包括被动式或主动式无线射频识别(radio-frequencyidentification,RFID)协定和设备。其他合适的协定可以包括超宽频(ultra wide band,UWB)、数字办公室(digital office,DO)、数字家庭(digital home)、可信平台模块(trusted platform module,TPM)、紫蜂(ZigBee)等。
在一些实施例中,系统300的控制电路314可以包括耦合各种系统组件的系统总线,包括处理器、记忆体和输入/输出接口。系统总线可以是若干类型的总线结构中的任何一种,包括记忆体总线或记忆体控制器,周边总线或外部总线,及/或使用各种可用总线架构的区域总线,包括但不限于,9位元总线、工业标准结构(industrial standardarchitecture,ISA)、微通道架构(micro-channel architecture,MCA)、扩展工业标准结构(extended industrial standard architecture,EISA)、智能驱动电子设备(intelligentdrive electronics,IDE)、视讯电子标准协会(VESA)区域总线(VESA local bus,VLB)、个人计算机记忆卡国际协会(personal computer memory card internationalassociation,PCMCIA)总线、小型计算机系统接口(small computer system interface,SCSI)或其他专用总线、或适用于计算机装置应用程序的任何定制总线。
图2B绘示根据本揭露的一些实施例中在主体240外部具有适配器242的气体喷射器的剖面图。电浆处理系统200的多个气体喷射器204中的每一个皆包括管子230和喷嘴头232,其中喷嘴头232包括主体240和适配器242。在一些实施例中,主体240可以由石英制成。在一些实施例中,管子230可以连接到气体控制单元222,以接收至少一种反应气体并将至少一种反应气体输送到反应室202。根据一些实施例,适配器242可以放置在主体240的内部或外部,以重新引导供应气流246,以产生偏离原始气流246的第二气流248。供应气体离开气体喷射器204进入反应室202,以在电浆阴极203和晶圆载台208之间的空间中产生电浆206。适配器242具有至少两个表面,第一表面和第二表面,其中第一表面面向原始气流246,第二表面位于元件的第一表面的相对侧。在一些实施例中,适配器242的第二表面面向在电浆干式清洁制程期间产生的电浆206或晶圆载台208。在一些实施例中,在电浆干式清洁制程中产生的电浆206可与适配器242的第二表面上的一层膜积聚244反应,以作为原位干式清洁的目的。
在一些实施例中,适配器242包括以下之一:石英、陶瓷、石墨、金属、半导体(例如,硅)和聚合物。在一些实施例中,适配器242可由抗电浆聚合物制成,例如全氟化橡胶(perfluoro-elastomers,FFKM)和四氟乙烯/丙烯橡胶(tetrafluoro ethylene/propylenerubbers,FEPM)。在一些实施例中,电浆干式清洁制程在蚀刻适配器242上的膜积聚时具有高选择性。在一些实施例中,适配器242可由热塑性聚合物制成,其可以注塑成型或三维列印以实现复杂的结构,如以下详细说明。在一些实施例中,抗电浆热塑性聚合物也是化学稳定的,不与供应气体反应。在一些实施例中,适配器242可以机械加工。在一些实施例中,适配器242可以容易地更换或附接到喷嘴头232。
图2C绘示根据本揭露的一些实施例中在主体240内部具有适配器242的气体喷射器204的剖面图。适配器242包括两个流量调节元件(下文称为“元件”),即元件242-L和元件242-R,它们沿着主体240的内表面的壁依序排列,以便调节主体240内的原始气流246。在一些实施例中,主体240包括石英。根据一些实施例,两个元件是相同的,并且一个元件相对于另一个元件旋转180度。具体地,在一些实施例中,适配器242的两个元件的配置将主气流246的方向改变为调节气流248。根据一些实施例,调节气流248可以沿着两个元件242-L和242-R之间的间隙平行地流动,然后存在于反应室202中的自由空间中。调节气流248携带将用于半导体基板上的电浆处理及/或将用于反应室的电浆干式清洁的反应气体。由于两个元件配置在主体240的相对侧壁上,因此在电浆处理期间形成的膜积聚244将被限制在适配器242的两个元件的底表面上,其中此两个元件的底表面面向电浆阴极和晶圆载台208之间的自由空间。类似地,由于两个元件配置在主体240的相对侧壁上,因此透过干式清洁电浆可以有效地去除膜积聚244,以最小化在半导体基板上的后续电浆处理期间不能令人满意地去除气体喷射器204的主体240内表面上的膜积聚的污染物。
图2D绘示根据本揭露的一些实施例中气体喷射器204的喷嘴头232的剖面视图和俯视图,其中气体喷射器204具有处于各种几何构造的适配器242。在一些实施例中,多个适配器242中的每一个皆包括两个元件。这两个元件包括不同的几何构造,包括高度251、底部宽度252、间距尺寸253、侧壁角度254、底部曲率255、顶部曲率256、尖端宽度257和元件旋转角度258。尽管图2D所示实施例中的适配器242的两个元件仅包括六种不同的几何配置,但应理解,图2D的实施例仅用于说明目的。适配器242的两个元件可包括任何所需的几何构造(包括高度、底部宽度、间距尺寸、侧壁角度、底部曲率、顶部曲率和顶部宽度),以有效地调节原始气流并阻止膜积聚在气体喷射器204的主体240中形成,并同时保持在本揭露的范围内。
图2E绘示根据本揭露的一些实施例中具有多个适配器242的气体喷射器204的喷嘴头232的剖面图。在一些实施例中,喷嘴头232包括沿着喷嘴头232的主体240依序排列的多个适配器242。根据一些实施例,多个适配器242中的每一个皆包括两个元件,其具有180度的元件旋转角度258。在一些实施例中,多个适配器242可以是具有不同侧壁轮廓的适配器的组合,以便有效地调节流量,同时最小化沉积物堆积并最大化干式清洁效率。具体地,图2E绘示4个适配器242-1、242-2、242-3和242-4。在一些实施例中,四个适配器242具有恒定的底部宽度252和间距距离253。在一些实施例中,多个适配器中的每一个皆可具有不同的几何配置。具体地,第一组适配器242-1包括高度251-1、侧壁角度254-1和顶部曲率256-1;第二组适配器242-2包括高度251-2、侧壁角度254-2和顶部曲率256-2;第三组适配器242-3包括高度251-3、侧壁角度254-3和顶部曲率256-3;第四组适配器242-4包括高度251-4、侧壁角度254-4和顶部曲率256-4。
图2F绘示根据本揭露的一些实施例中具有多个适配器242的气体喷射器204的喷嘴头232的剖面图。在一些实施例中,喷嘴头232可包括沿气体喷射器204的主体240依序排列的多个适配器242(即,多个适配器的数量为N)。在一些实施例中,四个适配器242-1、242-2、242-3和242-4可以具有恒定的高度251、底部宽度252、间距距离253、侧壁角度254、底部曲率255和顶部曲率256。根据一些实施例,元件旋转角度258是45度(即,360度/(2N))。
尽管图2E和图2F所示实施例的多个适配器242仅包括四个适配器,但应理解,图2E的实施例仅用于说明目的。多个适配器242可包括具有任何几何构造(包括高度、底部宽度、间距尺寸、侧壁角度、底部曲率、顶部曲率、顶部宽度和元件旋转角度)的组合的任何期望数量的适配器242,同时保持在范围内本揭露的内容。
图3A至图3D绘示根据本揭露的一些实施例中具有多个适配器242的气体喷射器204的喷嘴头232的剖面视图和俯视图。在一些实施例中,气体喷射器204可包括沿气体喷射器204的主体240依序排列的多个适配器242。根据一些实施例,多个适配器242中的每一个皆包括具有元件旋转角度258(即,360/N)的多个元件(即,元件的数量等于N)。应理解,图3A至图3D的实施例仅用于说明目的。多个适配器242可包括具有任何几何构造(包括高度、底部宽度、间距尺寸、侧壁角度、底部曲率、顶部曲率、顶部宽度和元件旋转角度)的组合的任何期望数量的元件,同时保持在本揭露的范围内。
图4A至图4D绘示根据本揭露的一些实施例中具有适配器242的气体喷射器204的喷嘴头232的剖面视图。在一些实施例中,气体喷射器可包括多个元件242。与前述元件垂直于主要气流246和主体240的实例不同,将多个元件配置为使主体240分成多个子通道,例如,垂直的(图4A)、Z字形的(图4B)、弯曲的(图4C)等。在一些实施例中,主体240可包括使适配器多孔的不规则元件(图4D)。根据一些实施例,子通道或孔的尺寸可以从几十微米到几毫米变化。图4A至图4D中所示的适配器配置用于示范目的,而不是要限制本揭露。对于本领域普通技术人员显而易见的是,适配器可以提供的任何类型的子通道或孔隙率及其任何组合都在本揭露的范围内。
图5绘示根据本揭露的一些实施例中在反应室中的半导体基板上进行电浆处理和反应室的干式清洁的方法500的流程图。在一些实施例中,反应室用于沉积硅或含硅的材料,例如硅、硅氧化物、氮化硅、金属硅化物等。在一些实施例中,反应室用于半导体基板中的深反应蚀刻。应当理解,可以在图5的方法500之前、期间和之后提供附加操作,并且一些其他操作可在此省略或仅简要描述。
方法500从操作502开始,其中可以在反应室中提供多个半导体基板以进行半导体处理。为了提高制造效率和降低生产成本,历年来晶圆的尺寸稳定地增加。标准硅晶圆尺寸从约200毫米(mm)(约8英寸直径)到300mm(约12英寸直径)稳定地增长。下一代晶圆标准设定为450mm(直径约18英寸)。下一代450mm的晶圆尺寸,在化学气相沉积制程期间,在整个晶圆舟皿中维持晶圆堆叠中的均匀环境(例如,温度和反应物分布)方面产生了挑战,所述化学气相沉积制程期望促进在每个晶圆表面上的均匀材料膜沉积。在一些实施例中,可使用反应室处理大晶圆(例如12英寸至18英寸)。在一些实施例中,可以整合反应室与自动控制系统和转移机构,以装载和卸载晶圆。根据一些实施例,多个晶圆可以作为堆叠在载体(例如,晶圆舟皿)上一起处理或单独处理。在一些实施例中,反应室可以是水平管式炉、垂直管式炉、旋转管式炉、真空管式炉、也可以是具有较大反应室的反应器型炉。
方法500继续操作504,其中完成多个基板上的电浆处理,并且从电浆处理室移除最后的半导体基板。在温度从反应温度变化到阈值温度之后,可以从反应室卸载多个半导体基板,以便安全地打开反应室,以将多个半导体基板传送到下一个处理站或储存站。取决于要沉积的材料的类型,典型的化学气相沉积制程的温度可以在约摄氏200度至800度之间变化。在一些实施例中,取决于要去除的材料,在没有特意加热的情况下,在典型的电浆干式蚀刻期间元件上的温度可以在约摄氏200度变化。在一些实施例中,低温深反应离子蚀刻需要温度控制器提供摄氏-110度的冷却阶段。在一些实施例中,适配器包括可基于系统的操作温度选择的材料。
方法500继续操作506,其中配置电浆处理室中的清洁条件。在一些实施例中,清洁条件包括压力、晶圆载台208上的温度分布、处理气体的流速、电浆功率和温度的时间响应等。压力可以是几托以最小化在较高压力下重组并维持电浆所造成的原子反应物的损失。根据一些实施例,温度可以在200度至500度的范围内。特别地,可以基于所需的蚀刻速率和膜积聚的厚度来调节温度。在此操作期间,为了进行精确的蚀刻控制,可以使用惰性气体净化反应室以终止化学气相沉积的沉积反应。
方法500继续进行操作508,其中将清洁气体提供给电浆处理室,并且透过气体喷射器改变气体流动方向。在一些实施例中,清洁气体包括以下氩气、氢气、氧气、氟化硫、氟化碳、含氯气体、含溴气体等中的至少一种。参见图2A,区域计算机116和控制单元在反应室202中产生包括电浆功率、压力、温度和反应气体的第一清洁条件。在一些实施例中,当满足反应室的压力和温度条件时,可以将清洁气体提供给耦合到反应室202的远程电浆源。远程电浆清洁的设计系用于弥补传统射频电浆清洁的缺点,其具有许多缺陷,例如,蚀刻速率慢、不能清洁未直接暴露于电浆的元件、来自离子轰击的溅射侵蚀和反应气体的不完全解离。与射频清洁相比,远程电浆清洁涉及纯化学反应,而不是离子轰击和化学诱导反应的组合。因此,远程电浆干式清洁制程的一些特征包括活性物质的产生、传输和反应速率。参考图2A至图4D中所示的各种实施例,由于气体喷射器204中多个喷嘴头232上的多个适配器242中的多个元件的构造,气体流动方向不直接穿过主体直接向下到反应室(例如,流动方向246),而是因适配器242改变成多个气体方向248。在电浆处理期间形成的膜积聚244可以被限制在面向位于电浆阴极203和晶圆载台208之间的自由空间的元件的底部表面上,同时,可以最小化在喷嘴头232的主体240的内表面上形成的膜积聚。类似地,由于多个适配器242的多个元件的构造,可以透过干式清洁电浆有效地去除膜积聚244,以在半导体基板上的后续电浆处理期间最小化在气体喷射器204的主体240的内表面上不能令人满意地去除的膜积聚的污染。
方法500进一步继续操作510,其中准备电浆处理室中的第二条件以用于后续的半导体处理。在一些实施例中,可以在装载下一批晶圆之前进行调节内腔室表面的附加步骤。应当注意,在方法500中的任何步骤之前或之后也可以包括各种设置和清洁步骤。
在一些实施例中,用于半导体处理系统的气体喷射器包括管子,以及安装在管子的下游端的至少一个喷嘴头,其中至少一个喷嘴允许流体连通,以使气体从管子的上游端透过气体喷射器的至少一个喷嘴到达围绕管子的下游端的环境大气,其中至少一个喷嘴包括:主体以及包括多个流量调节元件的至少一个适配器,以改变在下游端的气体的流动方向,其中各自构造和配置多个流量调节元件以使得在气体喷射器的内表面上的膜积聚减少。
在一些实施例中,适配器设置在喷嘴头的主体的内部。
在一些实施例中,适配器设置在喷嘴头的主体的外部。
在一些实施例中,流量调节元件沿着主体的内表面依序排列。
在一些实施例中,每个流量调节元件包含第一表面和第二表面。
在一些实施例中,第一表面被配置为面向气体喷射器的原始气流,并且第二表面被配置为面向晶圆载台。
在一些实施例中,膜积聚形成在适配器的流量调节元件的第二表面上。
在进一步的实施例中,电浆处理系统包括配置为提供至少一种气体的气体输送系统;耦合到气体输送系统的半导体处理室;配置为耦合到气体输送系统和半导体处理室的气体喷射器,其中气体喷射器包括管子和安装在管子下游端上的至少一个喷嘴头,其中至少一个喷嘴允许流体连通,以使气体从管子的上游端透过气体喷射器的至少一个喷嘴排到围绕管子下游端的环境大气中,其中至少一个喷嘴包括:主体和至少一个适配器,其中适配器包括用于改变下游端气体流动方向的多个流量调节元件,其中,将多个流量调节元件配置为使得在气体喷射器的内表面上的膜积聚减少。
在一些实施例中,适配器设置在喷嘴头的主体的内部。
在一些实施例中,适配器设置在喷嘴头的主体的外部。
在一些实施例中,流量调节元件沿着主体的内表面依序排列。
在一些实施例中,每个流量调节元件包含第一表面和第二表面。
在一些实施例中,第一表面被配置为面向气体喷射器的主体中的原始气流,并且第二表面被配置为面向晶圆载台。
在一些实施例中,膜聚积形成在适配器的流量调节元件的第二表面上。
在另一实施例中,电浆处理方法包括在半导体处理反应室中处理多个半导体晶圆;改变气体喷射器内的气体流动,使气体沿多个方向行进,以减少气体喷射器的至少一个内表面上的膜积聚;并且执行电浆增强的半导体制程以清洁在半导体处理反应室和气体喷射器上形成的膜积聚。
在一些实施例中,改变气体喷射器内的气体流动包含提供设置在气体喷射器的主体内的至少一适配器,其中适配器改变气体流动并减少膜积聚。
在一些实施例中,适配器设置在喷嘴头的主体的外部。
在一些实施例中,适配器包含多个流量调节元件,并且每个流量调节元件包含第一表面和第二表面。
在一些实施例中,第一表面被配置为面向气体喷射器的主体中的原始气流,并且第二表面被配置为面向晶圆载台。
在一些实施例中,膜积聚形成在适配器的流量调节元件的第二表面上。
虽然上面已经描述了本揭露的各种实施例,但是应该理解,它们仅以示例的方式呈现,而不是作为限制。同样地,提供各种图示以描绘示例性架构或配置,以使得本领域普通技术人员能够理解本揭露的示例性特征和功能。然而,这些人将理解,本揭露不限于所示出的示例架构或配置,而是可以使用各种替代架构和配置来实现。另外,如本领域普通技术人员将理解的,一个实施例的一个或多个特征可以与本文描述的另一个实施例的一个或多个特征组合。因此,本揭露的广度和范围不应受任何上述示例性实施例的限制。
还应理解,本文中对元件使用诸如“第一”、“第二”等名称的任何引用,一般将不限制这些元件的数量或顺序。相反地,这些名称在本文中可以用作区分两个或更多个元件或实例的元件的便利手段。因此,对第一和第二元件的引用并不意味着仅可以使用两个元件,或者第一元件必须以某种方式在第二元件之前。
另外,本领域普通技术人员将理解,可以使用各种不同技术和方法中的任何一种来表示信息和信号。例如,数据、指令、命令、信息、信号、位元和符号,例如,在上面描述中引用的可以由电压、电流、电磁波、磁场或粒子、光场或粒子,或任何组合来表示。
本领域普通技术人员将进一步理解,可以透过电子硬件(例如,数字实现、模拟实现或两者的组合)、固件、各种形式的程序或包含指令的设计程序(为方便起见,这里可称为“软件”或“软件模块”),或这些技术的任何组合实现本揭露方面结合所描述的各种说明性逻辑区块、模块、处理器、工具、电路、方法和功能中的任何一个。
为了清楚地说明硬件、固件和软件的这种可互换性,上面已经在功能方面对各种说明性元件、区块、模块、电路和步骤进行了总体描述。是否将此功能实现为硬件、固件或软件,还是这些技术的组合,取决于对整个系统施加的特定应用和设计约束。针对每个特定应用,技术人员可以以各种方式实现所描述的功能,然而这样的实现决策并不会导致脱离本揭露的范围。根据各种实施例,可配置处理器、装置、元件、电路、结构、机器、模块等以执行本文描述的一个或多个功能。在此关于指定的操作或功能而使用的术语“配置为”或“配置用于”指的是替处理器、装置、元件、电路、结构、机器、模块等物理构造、设计程序及/或安排为执行指定的操作或功能。
此外,本领域普通技术人员将理解,本文描述的各种说明性逻辑区块、模块、装置、元件和电路可以在包括通用处理器、数字信号处理器(digital signal processor,DSP)、特殊应用集成电路(application specific integrated circuit,ASIC)、现场可编程逻辑门阵列(field programmable gate array,FPGA)或其他可编程逻辑器件、或其任何组合的集成电路(integrated circuit,IC)内实现或由其执行。逻辑区块、模块和电路还可以包括天线及/或收发器,以与网络内或装置内的各种原件通讯。通用处理器可以是微处理器,或者,处理器可以是任何传统的处理器、控制器或状态机。处理器还可以计算机装置组合的方式实现,例如数字信号处理器和微处理器的组合、多个微处理器、一个或多个微处理器结合数字信号处理器核心,或者任何其他合适的配置以执行于此所描述的功能。
如果以软件实现,则可以将功能作为一个或多个储存在计算机可读媒体上的指令或代码。因此,这里公开的方法或演算法的步骤可以由储存在计算机可读媒体上的软件实现。计算机可读媒体包括计算机储存媒体和通讯媒体,其包括能够将计算机程序或代码从一个地方传送到另一个地方的任何媒体。储存媒体可以是计算机可以存取的任何可用媒体。作为示例而非限制,这种计算机可读媒体可包括随机存取记忆体(RAM)、记忆体可以包括只读记忆体(ROM)、电子可抹除可编程只读记忆体(EEPROM)、只读记忆光盘(CD-ROM)或其他光盘记忆体、磁盘记忆体或其他磁储存装置、或可以以指令或数据结构的形式储存所需程序代码并且可由计算机存取的其他媒体。
在本文中,如本文所使用的术语“模块”指代用于执行本文描述的相关功能的软件、固件,硬件和这些元件的任何组合。另外,为了讨论的目的,将各种模块描述为离散模块;然而,对于本领域普通技术人员显而易见的是,可以组合两个或更多个模块以形成执行根据本揭露实施例的相关功能的单个模块。
另外,在本揭露的实施例中可以采用记忆体(memory)或其他储存(storage)以及通讯元件。应当理解,为了清楚起见,以上描述已经参考不同的功能单元和处理器以描述本揭露的实施例。然而,显而易见的是,可以使用不同功能单元、处理逻辑元件或区域之间的任何合适的功能分布而不背离本揭露。例如,示出为由各别的处理逻辑元件或控制器执行的功能可以由相同的处理逻辑元件或控制器执行。因此,对特定功能单元的引用仅仅是指用于提供所描述的功能的合适方法,而不是表示精确的逻辑或物理结构或组织。
对于本领域技术人员来说,对本揭露中描述的实施例的各种修改是显而易见的,并且在不脱离本揭露的范围的情况下,本文中定义的一般原理可以应用于其他实施例。因此,本揭露不旨在限于本文所示的实施例,而是与符合如下面的权利要求中所述的本文公开的新颖特征和原理的最广范围相一致。

Claims (16)

1.一种用于一半导体处理系统的一气体喷射器,其特征在于,包含:
一管子,以及
至少一喷嘴头安装在该管子的一下游端上,其中该至少一喷嘴头允许一流体连通以将一气体从该管子的一上游端透过该气体喷射器的该至少一喷嘴头排放到围绕该管子的该下游端的环境大气中,其中该至少一喷嘴头包含:
一主体,以及
至少一适配器,包含多个流量调节元件,以改变该下游端的该气体的一流动方向,
其中,所述多个流量调节元件各自有不同的几何配置使得每个所述流量调节元件从该至少一喷嘴头的侧壁往该至少一喷嘴头的下游端量测的高度逐渐增加,每个所述流量调节元件从该至少一喷嘴头的侧壁往该至少一喷嘴头的下游端量测的侧壁与水平线之间的角度逐渐减小,且每个所述流量调节元件的顶部曲率从该至少一喷嘴头的侧壁往该至少一喷嘴头的下游端逐渐增加。
2.根据权利要求1所述的气体喷射器,其特征在于,该至少一适配器设置在该喷嘴头的该主体的内部。
3.根据权利要求2所述的气体喷射器,其特征在于,所述多个流量调节元件沿着该主体的内表面依序排列。
4.根据权利要求1所述的气体喷射器,其特征在于,每个所述流量调节元件包含一第一表面和一第二表面。
5.根据权利要求4所述的气体喷射器,其特征在于,该第一表面被配置为面向该气体喷射器的一原始气流,并且该第二表面被配置为面向一晶圆载台。
6.根据权利要求4所述的气体喷射器,其特征在于,膜积聚形成在该至少一适配器的所述多个流量调节元件的该第二表面上。
7.一种电浆处理系统,其特征在于,包含:
一气体输送系统,其被配置为提供至少一种气体;
一半导体处理室,连接到该气体输送系统;以及
一气体喷射器,其被配置为连接该气体输送系统和该半导体处理室,其中该气体喷射器包含一管子和安装在该管子的一下游端上的至少一喷嘴头,其中该至少一喷嘴头允许一流体连通以将一气体从该管子的一上游端经由该气体喷射器的该至少一喷嘴头排出到围绕该管子的该下游端的环境大气中,其中该至少一喷嘴头包含:一主体和至少一适配器,其中该适配器包含多个流量调节元件,以用于改变该下游端的该气体的一流动方向,其中所述多个流量调节元件有不同的几何配置使得每个所述流量调节元件从该至少一喷嘴头的侧壁往该至少一喷嘴头的下游端量测的高度逐渐增加,每个所述流量调节元件从该至少一喷嘴头的侧壁往该至少一喷嘴头的下游端量测的侧壁与水平线之间的角度逐渐减小,且每个所述流量调节元件的顶部曲率从该至少一喷嘴头的侧壁往该至少一喷嘴头的下游端逐渐增加。
8.根据权利要求7所述的电浆处理系统,其特征在于,该至少一适配器设置在该喷嘴头的该主体的内部。
9.根据权利要求8所述的电浆处理系统,其特征在于,所述多个流量调节元件沿着该主体的内表面依序排列。
10.根据权利要求7所述的电浆处理系统,其特征在于,每个所述流量调节元件包含一第一表面和一第二表面。
11.根据权利要求10所述的电浆处理系统,其特征在于,该第一表面被配置为面向该气体喷射器的该主体中的一原始气流,并且该第二表面被配置为面向一晶圆载台。
12.根据权利要求10所述的电浆处理系统,其特征在于,膜聚积形成在该至少一适配器的所述流量调节元件的该第二表面上。
13.一种电浆处理方法,其特征在于,包含:
在一半导体处理反应室中处理多个半导体晶圆;
改变一气体喷射器内的一气体流动,使该气体沿多个方向行进,以减少在该气体喷射器的至少一内表面上的一膜积聚,其中该气体喷射器包含具有至少一适配器的至少一喷嘴头,该适配器包含多个流量调节元件,每个所述流量调节元件有不同的几何配置使其从该至少一喷嘴头的侧壁往该至少一喷嘴头的下游端量测的高度逐渐增加,每个所述流量调节元件从该至少一喷嘴头的侧壁往该至少一喷嘴头的下游端量测的侧壁与水平线之间的角度逐渐减小,且每个所述流量调节元件的顶部曲率从该至少一喷嘴头的侧壁往该至少一喷嘴头的下游端逐渐增加;以及
执行一电浆增强半导体制程以清洁在该半导体处理反应室和该气体喷射器上形成的一膜积聚。
14.根据权利要求13所述的电浆处理方法,其特征在于,每个所述流量调节元件包含一第一表面和一第二表面。
15.根据权利要求14所述的电浆处理方法,其特征在于,该第一表面被配置为面向该气体喷射器的一主体中的一原始气流,并且该第二表面被配置为面向一晶圆载台。
16.根据权利要求14所述的电浆处理方法,其特征在于,该膜积聚形成在该至少一适配器的所述多个流量调节元件的该第二表面上。
CN201811374602.8A 2017-11-29 2018-11-19 新式气体喷射器、电浆处理系统及电浆处理方法 Active CN109841540B (zh)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201762592211P 2017-11-29 2017-11-29
US62/592,211 2017-11-29
US16/104,816 US11139149B2 (en) 2017-11-29 2018-08-17 Gas injector
US16/104,816 2018-08-17

Publications (2)

Publication Number Publication Date
CN109841540A CN109841540A (zh) 2019-06-04
CN109841540B true CN109841540B (zh) 2021-11-23

Family

ID=66632634

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201811374602.8A Active CN109841540B (zh) 2017-11-29 2018-11-19 新式气体喷射器、电浆处理系统及电浆处理方法

Country Status (3)

Country Link
US (1) US11139149B2 (zh)
CN (1) CN109841540B (zh)
TW (1) TWI669770B (zh)

Families Citing this family (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN112233962B (zh) * 2020-09-17 2023-08-18 北京北方华创微电子装备有限公司 套装于基座上的收集组件及半导体腔室

Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4520757A (en) * 1982-10-27 1985-06-04 Energy Conversion Devices, Inc. Process gas introduction, confinement and evacuation system for glow discharge deposition apparatus
CN1365138A (zh) * 2001-01-11 2002-08-21 三星电子株式会社 气体喷射器以及包含该喷射器的蚀刻装置
CN1850348A (zh) * 2005-12-05 2006-10-25 北京北方微电子基地设备工艺研究中心有限责任公司 提高气流分布均匀性的气体喷嘴
US20130346018A1 (en) * 2012-06-22 2013-12-26 Tokyo Electron Limited Method for obtaining data of substrate processing apparatus and sensor substrate
US20140246521A1 (en) * 2010-07-28 2014-09-04 Applied Materials, Inc. Showerhead support structure for improved gas flow
CN105420688A (zh) * 2008-12-04 2016-03-23 威科仪器有限公司 用于化学气相沉积的进气口元件及其制造方法
CN106356289A (zh) * 2015-07-17 2017-01-25 株式会社日立国际电气 气体供给喷嘴、衬底处理装置及半导体器件的制造方法

Family Cites Families (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5870488A (en) 1996-05-07 1999-02-09 Fortrend Engineering Corporation Method and apparatus for prealigning wafers in a wafer sorting system
US7468494B2 (en) * 2003-01-31 2008-12-23 Advanced Energy Industries Reaction enhancing gas feed for injecting gas into a plasma chamber
US8277165B2 (en) 2007-09-22 2012-10-02 Dynamic Micro System Semiconductor Equipment GmbH Transfer mechanism with multiple wafer handling capability
JP2009239082A (ja) * 2008-03-27 2009-10-15 Tokyo Electron Ltd ガス供給装置、処理装置及び処理方法
JP4854794B2 (ja) * 2010-03-18 2012-01-18 三井造船株式会社 薄膜形成装置
TWI487803B (zh) 2010-09-17 2015-06-11 Wonik Ips Co Ltd 真空鍍膜裝置
US9082593B2 (en) * 2011-03-31 2015-07-14 Tokyo Electron Limited Electrode having gas discharge function and plasma processing apparatus

Patent Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4520757A (en) * 1982-10-27 1985-06-04 Energy Conversion Devices, Inc. Process gas introduction, confinement and evacuation system for glow discharge deposition apparatus
CN1365138A (zh) * 2001-01-11 2002-08-21 三星电子株式会社 气体喷射器以及包含该喷射器的蚀刻装置
CN1850348A (zh) * 2005-12-05 2006-10-25 北京北方微电子基地设备工艺研究中心有限责任公司 提高气流分布均匀性的气体喷嘴
CN105420688A (zh) * 2008-12-04 2016-03-23 威科仪器有限公司 用于化学气相沉积的进气口元件及其制造方法
US20140246521A1 (en) * 2010-07-28 2014-09-04 Applied Materials, Inc. Showerhead support structure for improved gas flow
US20130346018A1 (en) * 2012-06-22 2013-12-26 Tokyo Electron Limited Method for obtaining data of substrate processing apparatus and sensor substrate
CN106356289A (zh) * 2015-07-17 2017-01-25 株式会社日立国际电气 气体供给喷嘴、衬底处理装置及半导体器件的制造方法

Also Published As

Publication number Publication date
CN109841540A (zh) 2019-06-04
US11139149B2 (en) 2021-10-05
TWI669770B (zh) 2019-08-21
US20190164724A1 (en) 2019-05-30
TW201926510A (zh) 2019-07-01

Similar Documents

Publication Publication Date Title
US7204913B1 (en) In-situ pre-coating of plasma etch chamber for improved productivity and chamber condition control
JP5450187B2 (ja) プラズマ処理装置およびプラズマ処理方法
JP4801045B2 (ja) ドライクリーニングプロセスのプラズマ処理システムからチャンバ残渣を除去する方法
US20070199922A1 (en) Etch methods to form anisotropic features for high aspect ratio applications
US9533332B2 (en) Methods for in-situ chamber clean utilized in an etching processing chamber
US6776851B1 (en) In-situ cleaning of a polymer coated plasma processing chamber
US20070202700A1 (en) Etch methods to form anisotropic features for high aspect ratio applications
US20090302002A1 (en) Method and apparatus for removing polymer from a substrate
US20050155625A1 (en) Chamber cleaning method
US8809207B2 (en) Pattern-forming method and method for manufacturing semiconductor device
US20100029024A1 (en) Plasma processing method
TW201820388A (zh) 用於半導體處理之矽基沉積
KR101276258B1 (ko) 반도체 제조 장치 및 반도체 제조 방법
JP5750496B2 (ja) プラズマ処理方法
JP5853087B2 (ja) プラズマ処理方法
CN109841540B (zh) 新式气体喷射器、电浆处理系统及电浆处理方法
US20200105508A1 (en) Methods and Systems for Managing Byproduct Material Accumulation During Plasma-Based Semiconductor Wafer Fabrication Process
JP6169666B2 (ja) プラズマ処理方法
US10636686B2 (en) Method monitoring chamber drift
JP2006202945A (ja) 半導体製造装置
CN115668462A (zh) 用氯进行高深宽比电介质蚀刻
KR20220119139A (ko) 반도체 애플리케이션들에 대해 재료 층을 에칭하기 위한 방법들
KR20210016478A (ko) 웨이퍼들을 프로세싱하기 위한 방법 및 장치
WO2023069346A1 (en) Strip with bevel cleaning
WO2023224950A1 (en) Hardmask for high aspect ratio dielectric etch at cryo and elevated temperatures

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant