CN109782529A - 掩模制造方法 - Google Patents

掩模制造方法 Download PDF

Info

Publication number
CN109782529A
CN109782529A CN201811359663.7A CN201811359663A CN109782529A CN 109782529 A CN109782529 A CN 109782529A CN 201811359663 A CN201811359663 A CN 201811359663A CN 109782529 A CN109782529 A CN 109782529A
Authority
CN
China
Prior art keywords
mask
correction
parameter
grid
long
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
CN201811359663.7A
Other languages
English (en)
Inventor
黄旭霆
罗世翔
刘如淦
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Original Assignee
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Taiwan Semiconductor Manufacturing Co TSMC Ltd filed Critical Taiwan Semiconductor Manufacturing Co TSMC Ltd
Publication of CN109782529A publication Critical patent/CN109782529A/zh
Pending legal-status Critical Current

Links

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/36Masks having proximity correction features; Preparation thereof, e.g. optical proximity correction [OPC] design processes
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/68Preparation processes not covered by groups G03F1/20 - G03F1/50
    • G03F1/76Patterning of masks by imaging
    • G03F1/78Patterning of masks by imaging by charged particle beam [CPB], e.g. electron beam patterning of masks
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/20Exposure; Apparatus therefor
    • G03F7/2051Exposure without an original mask, e.g. using a programmed deflection of a point source, by scanning, by drawing with a light beam, using an addressed light or corpuscular source
    • G03F7/2059Exposure without an original mask, e.g. using a programmed deflection of a point source, by scanning, by drawing with a light beam, using an addressed light or corpuscular source using a scanning corpuscular radiation beam, e.g. an electron beam
    • G03F7/2061Electron scattering (proximity) correction or prevention methods

Landscapes

  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Health & Medical Sciences (AREA)
  • Toxicology (AREA)
  • Engineering & Computer Science (AREA)
  • Plasma & Fusion (AREA)
  • Preparing Plates And Mask In Photomechanical Process (AREA)

Abstract

一种掩模制造方法,包括通过调整多个参数,以校正光学邻近校正(OPC)模型,参数包括第一参数和第二参数,其中第一参数代表由电子束光刻机台引起的长程效应,并且第二参数代表半导体结构的几何特征或制造半导体结构的制程,电子束光刻机台用于制造掩模,掩模被使用以制造半导体结构、产生装置布局、计算出装置布局的第一网栅(grid)图案密度图、至少基于校正后的光学邻近校正模型和装置布局的第一网栅图案密度图,产生长程校正图、以及至少基于所产生的长程校正图和校正后的光学邻近校正模型,执行光学邻近校正以产生校正后的掩模布局。

Description

掩模制造方法
技术领域
本公开涉及一种掩模制造方法,特别是使用了光学邻近校正来校正掩模的掩模制造方法。
背景技术
当集成电路(IC)技术不断进行至更小的特征尺寸时,集成电路设计更加面临挑战。设计电路的效能在制程期间中(包括在制造掩模的过程中)受到各种电路图案的成像的严重影响。因此,掩模和最终晶圆结果需要被校正。
发明内容
本公开实施例提供一种掩模制造方法。掩模制造方法包括通过调整多个参数,以校正光学邻近校正(OPC)模型,参数包括第一参数和第二参数,其中第一参数代表由电子束光刻机台引起的长程效应,并且第二参数代表半导体结构的几何特征或制造半导体结构的制程,电子束光刻机台用于制造掩模,掩模被使用以制造半导体结构;产生装置布局;计算出装置布局的第一网栅(grid)图案密度图;至少基于校正后的光学邻近校正模型和装置布局的第一网栅图案密度图,产生长程校正图;以及至少基于所产生的长程校正图和校正后的光学邻近校正模型,执行光学邻近校正以产生校正后的掩模布局。在一个实施例中,此方法还包括至少基于校正后的掩模布局,产生分割的掩模布局或电子束射图。
本公开实施例提供一种掩模制造方法。掩模制造方法包括通过调整多个参数,以校正光学邻近校正模型,参数包括第一参数和第二参数,其中第一参数代表由电子束光刻机台引起的长程效应,并且第二参数代表半导体结构的几何特征或制造半导体结构的制程,电子束光刻机台用于制造掩模,掩模被使用以制造半导体结构;产生装置布局;计算出装置布局的第一网栅图案密度图;以及至少基于所产生的第一网栅图案密度图和校正后的光学邻近校正模型,执行光学邻近校正以产生校正后的掩模布局。在一个实施例中,此方法还包括至少基于校正后的掩模布局,产生分割的掩模布局或电子束射图。
本公开实施例提供一种掩模制造方法,掩模制造方法包括取得代表长程效应的第一参数的既定值,其中长程效应是由用于制造掩模的电子束光刻机台所引起的效应;至少基于代表长程效应的第一参数的既定值,校正光学邻近校正(OPC)模型;产生装置布局;计算出装置布局的第一网栅图案密度图;至少基于装置布局的第一网栅图案密度图和所得到的代表长程效应的第一参数的既定值,产生长程校正图;以及至少基于所产生的长程校正图和校正后的光学邻近校正模型,执行光学邻近校正以产生校正后的掩模布局。
附图说明
本公开的观点从后续实施例以及附图可以更佳理解。须知示意图是为范例,并且不同特征并无示意于此。不同特征的尺寸可能任意增加或减少以清楚论述。
图1和图2是为根据本公开实施例的用于制造集成电路(IC)的方法的流程图。
图3A和图3B是为根据本公开实施例的在图1和图2的方法中的不同站点中使用布局图案形成布局图案或结构的示例。
图4是为根据本公开实施例的用于制造集成电路(IC)的另一种方法的流程图。
图5和图6是为根据本公开实施例的用于制造集成电路(IC)的又另一种方法的流程图。
图7是为根据本公开实施例的用于制造集成电路的系统的示意图。
其中,附图标记说明如下:
1000~方法
100-140~操作
200-290~操作
300-330~操作
311~矩形
312~结构
2000~方法
100-125~操作
305-330~操作
3000方法~
100-140~操作
200-290~操作
300-330~操作
700~系统
710~电脑或电脑系统
711~显示器
712~处理器
713~存储器
714~输入/输出界面
715~网络界面
716~存储装置
717~操作系统
718~程序或应用
719~数据
720~掩模和集成电路制程机台
730~测试机台
740~无线或有线网络
具体实施方式
本公开提供许多不同的实施例或范例以实施本公开的不同特征。以下的公开内容叙述各个构件及其排列方式的特定范例,以简化说明。当然,这些特定的范例并非用以限定。举例来说,若是本公开书叙述了一第一特征部件形成于一第二特征部件之上或上方,即表示其可能包含上述第一特征部件与上述第二特征部件是直接接触的实施例,亦可能包含了有附加特征部件形成于上述第一特征部件与上述第二特征部件之间,而使上述第一特征部件与第二特征部件可能未直接接触的实施例。另外,以下公开书不同范例可能重复使用相同的参考符号及/或标记。这些重复是为了简化与清晰的目的,并非用以限定所讨论的不同实施例及/或结构之间有特定的关系。
为了方便起见,本公开以特定的顺序描述了一些所公开的方法、装置以及系统的操作,但是应理解这种描述方式包括重新布置,除非后续的特定描述中需要特定的顺序。举例来说,顺序描述的操作在某些情况下可以重新排列或同时执行。
术语“模拟”、“优化”、“调整”、“创建”,“制造”、“制作”、“编造”、“形成”、“比较”、“产生”,“选择”、“确定”、“计算”,“测量”等等被用于描述所公开的方法、装置或系统的操作。这些术语是可被执行的实际操作的高度抽象概念,例如通过一或多个电脑执行,这些电脑连接到网络或从网络断开,并且具有用于接收使用者/设计者的输入及/或命令的使用者界面和数据通信界面或网络界面,以便与半导体制程机台交换数据,半导体制程机台包括光刻机台和电子束直写,但不限于此,和半导体测试(或测量特性、测量或计量)机台,包括扫描式电子显微镜,但不限于此。对应于这些术语的实际操作可以根据具体实施方式而变化,并且所属领域中技术人员可以容易地识别。
本公开的技术/操作/步骤中的一些或全部可以通过软件被全部或部分地执行,此软件包括存储在电脑可读取媒体上的电脑可执行指令。举例来说,这种软件可包括适当的电子设计自动化(“EDA”)软件工具。这种软件可以在一或多个电脑或电脑系统上执行。为了明确表示,本公开仅描述了基于软件的实现的某些事项。其他为所属领域中技术人员所知的细节被省略。举例来说,应理解本公开的技术不限于任何特定的电脑语言、电脑程序或电脑。举例来说,本公开的技术可以使用任何商业上可得的电脑来实现,该电脑执行使用任何商业可得语言或其他合适的语言所编写的程序。本公开的任何方法可以在硬件(例如处理器、暂态和非暂态存储器装置以及各种电路)中被替代地(部分地或完全地)执行。
由于一些电子设计自动化过程的复杂性和许多电路的大尺寸设计,各种电子设计自动化工具被配置在能够同时执行多个处理线程或多个处理器的计算系统上操作。电脑网络的部件和操作具有主机或主电脑和一或多个远程或服务电脑。
从本公开的任何方法所产生的任何数据(例如:中间或最终测试图案,测试图案值、参数或控制数据)可以使用各种不同的数据结构或格式存储在电脑可读取(暂态或非暂态)存储媒体(例如:实体电脑可读取媒体,例如一或多个CD,挥发性存储器部件(例如DRAM或SRAM),或非易失性存储器部件(例如硬盘))上。这些数据可以使用本地电脑或通过网络(例如,通过服务器电脑)被创建、更新或存储,并且可以在电脑、半导体制程机台以及半导体测试机台之间交换这些数据。
在一些实施例中,掩模是图案化基板,用以在光刻制程中图案化涂布在半导体晶圆上的光刻胶。在以下的描述中,掩模、光学遮罩以及倍缩掩模被交换使用。尽管在一些实施例中只有描述一个掩模,但是所属领域中技术人员应理解根据本公开的设计原理可以制造更多的掩模,使得可以使用多个掩模来制造各种半导体层以构成集成电路。
在一些实施例中,掩模布局、掩模数据或电子束射图是电子文件或数据类型,其可以通过半导体制程机台或半导体测试机台读取,以允许半导体制程机台或半导体测试机台获得在电子文件或数据中包含的信息。此信息包括将被制造的掩模中的多个位置和这些位置的特性(意即基于这种电子文件或数据类型,在使用所制造的掩模曝光之后是否让部分光刻胶层被保留或将被去除,然后进行显影制程),但不限于此。
在一些实施例中,半导体制程机台或半导体测试机台是马达、光学部件(例如光源或透镜)、影像获取装置以及电脑其中之一的工具,但不限于此。电脑包括处理器、使用者界面、暂态及/或非暂态电脑可读取媒体以及存储在非暂态电脑可读取媒体中的软件、程序或指令。当软件、程序或指令被执行时,会使电脑的处理器产生命令以控制半导体制程机台或半导体测试机台的硬件或软件模块的操作。
图1和图2是为根据本公开实施例的用于制造集成电路(IC)的方法的流程图。
如图1所示,用于制造集成电路(IC)的方法1000包括操作100,操作100通过使用电子设计自动化软件/机台实现的一或多个电脑来产生集成电路的布局(集成电路设计布局),集成电路设计布局具有一种数据格式,例如国际半导体设备暨材料协会(SEMI)所提出的图形数据系统格式(GDS或GDSII)和开放式艺术系统交换标准(OASIS或OAS),但不限于此。集成电路设计布局又称为装置布局。
根据一些实施例,集成电路设计布局包括为了集成电路产品并基于集成电路产品规格所设计的一或多个电路图案层。
集成电路设计布局由设计者所设计。在一个实施例中,设计者可以是集成电路设计公司(design house)。在另一个实施例中,设计者是从半导体制造厂(semiconductormanufacturer)分离的集成电路设计团队,其中半导体制造厂可以根据集成电路设计布局制造集成电路产品。在多个实施例中,半导体制造厂可以制造掩模、集成电路产品或上述两者。设计者根据将被制造的产品规格,实施适当设计程序以产生集成电路设计布局。设计程序可包括逻辑设计、物理设计及/或布置和绕线。举例来说,集成电路设计布局的一部分包括多个集成电路特征(亦称为主要特征),例如主动区、掺杂井、源极及漏极、栅极、介层窗(vias)/接触窗(contacts)及层间相互连接的金属线以及用于焊接垫的开口,这些将被形成在半导体基板及设置在半导体基板上的多个材料层中。集成电路设计布局可包括某些辅助特征,例如那些用于成像效应、处理提升及/或掩模识别信息的特征。
在产生集成电路的布局的操作100是由集成电路设计公司执行,而不是由执行方法1000的剩余操作的半导体制造厂执行的情况下,根据其他实施例,方法1000可以被修改以包括另一个操作,例如在操作100之后,通过半导体制造厂接收从集成电路设计公司传递的所产生的集成电路设计布局的电子数据。所产生的集成电路设计布局的电子数据可以通过网际网络传输或通过携带式数据存储装置传输,或通过上述的组合传输。半导体制造厂可以使用所接收的所产生的集成电路设计布局的电子数据来执行方法1000的剩余操作。
方法1000包括操作110,操作110基于在操作100中产生的集成电路设计布局形成最终晶圆图案目标。在一些实施例中,操作110包括加入冗余特征(dummy features)至在操作100中产生的集成电路设计布局以优化半导体制程。举例来说,集成电路设计布局包括用于定义多个主动区的图案,这些主动区将被形成在半导体晶圆上。在另一个实施例中,主动区通过一个程序被形成在半导体晶圆上,该程序包括光刻图案化以形成蚀刻掩模、蚀刻以在半导体晶圆中形成沟槽、在沟槽中填充介电材料以及执行一化学机械研磨(CMP)制程以在半导体晶圆上形成浅沟槽隔离(STI)特征,由此定义出被浅沟槽隔离围绕的主动区。化学机械研磨制程移除多余的介电材料并且平坦化半导体晶圆的顶层表面。然而,化学机械研磨制程可能产生凹陷及侵蚀效应。冗余特征被加入至集成电路设计布局以调整图案密度,进而减少化学机械研磨制程的副作用及改善化学机械研磨制程的结果。在另一个实施例中,集成电路设计布局包括用于定义主动区的图案。冗余特征被插入到集成电路设计布局,使得应用在半导体晶圆的一热退火制程(例如热退火制程以激活离子布植掺杂物)被改善并且从一个位置到另一位置的热退火变异被减少或消除。在另一个实施例中,集成电路设计布局是用于在相互连接结构中定义金属线的图案。冗余特征被加入至集成集成电路设计布局的芯片角落非电路(die-corner-circuit-forbidden)区以消除芯片角落应力。在其他一些实施例中,操作110可以额外地或可交替地包括加入其他特征到集成电路设计布局,例如掩模识别号码(例如条码)、对准记号及/或测试图案。操作110的输出是最终晶圆图案目标。在一些实施例中,可以省略操作110,在这种情况下,从操作100输出的集成电路设计布局可被用在方法1000中的后续操作中。
如后续的操作320所述,掩模通过掩模制造程序制成,掩模制造程序通过掩模制程机台(例如电子束直写器)使用掩模数据(例如:分割的掩模布局)来执行。然而,由于掩模制造程序的各种制造因素,在掩模上形成的实际掩模图案与掩模布局不同。
一些电子束邻近效应(或“电子束效应”)可以通过高斯(Gaussian)函数或类高斯(Gaussian-like)函数来代表,而有效范围中的差异可以通过个别的高斯术语(Gaussianterm)的不同标准差来代表。在一些实施例中,电子束效应被分成两组:短程效应和长程效应。在第一组中,短程效应可包括电子束模糊(beam blurring)和前向散射,但不限于此。在第二组中,长程效应可包括背向散射,光刻胶显影负载效应和雾化,但不限于此。举例来说,背向散射可具有约15m的范围和5m的标准差。所属技术领域中技术人员应理解背向散射的范围和标准差可以与示例不同,这取决于电子束的特性,例如聚焦电子束的尺寸、能量以及电流和用来制造掩模的材料。
如果要叠代地计算长程效应,由于其有效范围大,在掩模图案合成期间中消除或减少远程效应可能非常耗时,特别是如果在光学邻近校正(optical proximitycorrection,OPC)之后执行的掩模邻近校正(mask proximate correction,MPC)中计算远程效应。掩模邻近校正在计算期间中无法在可接受的误差范围内得到结果或无法适当收敛的情况下,掩模邻近校正和掩模邻近校正之前的一些或所有模型建造过程必须重做,这是耗时的,从而导致周转时间长。
另一方面,在典型基于边缘(edge-based)的校正期间中所发生的小的几何变化期间中,长程效应大抵上不变。在一些实施例中,可以从校正前布局预先计算长程效应,并且将结果存储在长程校正图(long-range correction map)中。本公开实施例提供了一种用于建造电子束效应的模型的技术,电子束效应包括在电子束光刻模型中的电子束长程效应。在一些实施例中,测试图案可用于校正包括电子束长程效应的电子束模型参数。因此,在一些实施例中,可以实现用于补偿在电子束光刻期间中的电子束长程效应的掩模布局。在一些实施例中,电子束长程效应(例如在掩模制造中可能发生的背向散射和雾化而进一步影响在集成电路制造期间中的光刻胶图案)可被计算和校正。在一些实施例中,从校正前布局计算出在电阻上的长程效应,并且将结果存储在长程校正图中。在以下的描述中,这些特征将更加显而易见。
参照图2,图2显示了在图1中由“A”代表的方法1000的操作。用于制造集成电路的方法1000包括操作200,操作200通过使用电子设计自动化(EDA)软件/机台实现的电脑或电脑系统来产生测试结构的布局,测试结构的布局具有与在操作100中所产生的集成电路布局相同的数据格式。
根据一些实施例,测试结构布局包括一或多层测试结构,其被设计用于确定或优化在制造集成电路的过程中所使用的参数/补偿数据。测试结构被配置以具有各种形状,其具有宽范围的尺寸以覆盖在集成电路中的半导体结构,使得可以通过测试结构的制造程序来模仿和修改/改进集成电路的制造程序。在一些实施例中,测试结构的布局不仅可以用于校正光刻制程模型,还可以用于校正包括长程要素的电子束模型。
参照图2,方法1000包括操作210,使用了电子设计自动化(EDA)软件/机台选择测试结构的区域以进行校正。在操作210中,制造所选择的测试结构的掩模图案可以通过电子束光刻机台来执行,电子束光刻机台也用于制造集成电路的掩模。
在一些实施例中,在电子束光刻机台中所列的用于在操作210中制造所选择的测试结构的掩模图案的制程参数(即电子束电流或能量、电子束尺寸、相对于用于形成掩模的基板的相对速度等)可以与用于制造集成电路的掩模的制程参数相同。在其他实施例中,在电子束光刻机台中所列的用于制造所选择的测试结构的掩模图案的制程参数可以根据设计细节修改,并且修改后的制程参数可被存储在电子束光刻机台中,以在稍后用于制造集成电路掩模。
在一些实施例中,制程模型可用于建造半导体制程的模型。在半导体芯片的设计期间中,制成模型可以用于许多应用中。举例来说,制程模型通常地用于对布局进行校正以补偿半导体制程的不良影响。根据一些实施例,在操作220中,根据现有的集成电路/测试结构设计,基于存储在电脑系统的电脑中的预设设置,可以选择和确定可被用于建造制造程序的模型的参数,或者可以通过电脑系统的电脑的使用者界面通过使用者输入来选择和确定可被用于建造制造程序的模型的参数。在操作220中,在基于使用者输入来选择和确定可被用于建造制造程序的模型的参数的情况下,被配置以执行模型建造操作的电脑或电脑系统可以输出一个使用者接口以允许使用者通过键盘、鼠标、触控输入等来进行输入,以选择和确定用于建造制造程序的模型的参数。
在一些实施例中,操作220中所使用的参数包括两种或更多种类型。第一类型的参数包括长程效应的第一参数,第一参数包括长程效应的有效范围、长程效应的标准差以及长程效应的相关权重指数(权重指数代表用于乘上代表长程效应(相对于其他效应,例如短程效应)的高斯函数的相对因素),但不限于此。
根据一些实施例,第二类型的参数包括代表半导体结构的几何特征或制造该半导体结构的制程的第二参数。代表半导体结构的几何特征和半导体结构的制程的第二参数的示例将在后面说明。
第一参数可以在操作220中被选择和确定。举例来说在背向散射主导长程效应的情况下,可以设定范围为15m、标准差5m以及权重指数0.8来作为在模型中的初始设定以模拟制程。第二参数的初始设定亦可以在操作220中被选择和确定。所属技术领域中技术人员应理解这种第一和第二参数的设定仅为一示例,并不限制本公开。
在一些实施例中,当根据一些实施例执行电子自动化软件/机台时,初始设定值将会在下面所述的叠代(iteration)期间中通过电脑系统的电脑自动更新。在其他实施例中,当执行电子自动化软件/机台时,在叠代期间中,初始设定值将通过产生弹出窗口以允许使用者/设计者输入更新值被手动更新。
接着,在操作230中,可以通过使用掩模模型来计算所选择的测试结构的掩模图案形状,其中代表测试结构的布局的合适网栅(grid)掩模密度图被应用了模型参数,模型参数包括从操作220输出的长程效应的第一参数,以及半导体结构的几何特征和半导体结构的制程的第二参数。
下面将参考在图2中所示的操作204和206来描述代表测试结构的布局的合适网栅掩模密度图的产生。
在一些实施例中,测试结构的布局可以通过电脑系统的电脑使用不同的掩模图案密度网栅尺寸(例如常规的细网栅尺寸、中网栅尺寸以及粗网栅尺寸)被建造模型(或模拟或分析)。所属技术领域中技术人员应理解这三种不同的网栅尺寸仅为示例,根据设计细节,具有不同网栅间隔的一些或更多尺寸可以通过使用者输入来选择或者通过电脑或电脑系统来自动确定,以便产生合适的网栅掩模密度图。
在一些实施例中,每个网栅包含代表网栅的位置和网栅的特性的信息(特性包括是否使用基于掩模数据制造的掩模通过光刻制程保持或移除光刻胶材料的信息)。
在这里,中网栅掩模图案密度代表网栅体积的中等等级,其大于粗网栅掩模图案密度,并且小于细网栅掩模图案密度。由于网栅体积的等级不同,电脑或同一电脑系统用来计算中网栅密度的时间比用来计算粗网栅密度的时间长,并且比用来计算常规的细网栅密度的时间短。在一些实施例中,在由电脑或电脑系统或由使用者所提供的可用网栅密度等级中,可以由使用者选择相对粗的网栅密度,或者由电脑或电脑系统自动选择相对粗的网栅密度。在一个实施例中,在所选择的网栅可以具有最少网栅的情况下,用于对最少(或最粗)网栅图建造模型的时间可以是最短的。在一些实施例中,使用者可以通过由电脑或电脑系统提供的使用者界面输入合适的网栅密度等级来手动调整网栅密度等级以用于后续建造模型。在一些实施例中,在操作204中,可以选择和计算网栅掩模图案密度(例如粗网栅掩模图案密度)。因此,与仅使用常规细网格计算的示例相比,在后续操作中使用了更短的建造模型时间。
此后,在操作206中,基于所计算的粗网栅掩模图案密度,可以通过电脑或电脑系统产生代表每个网栅的位置信息和特性信息的粗网栅掩模密度图。
接下来,在操作240中,至少基于来自操作230输出的先前模型建造结果,可以通过电脑或电脑系统产生晶圆影像或晶圆轮廓,晶圆影像或晶圆轮廓代表或预测使用具有测试结构的掩模图案化的图案化光刻胶层的结果。
再次参照图2,在操作200中产生测试结构的布局后,通过在操作215的光刻制程,可以通过转移测试结构的掩模图案至光刻胶层以在基板(例如晶圆)上形成半导体结构(例如图案化光刻胶层)。在操作215中形成的图案化光刻胶层可以在操作250中通过测试或计量机台(例如扫描式电子显微镜(SEM))来测量或测量特性。因此,可以在操作250中通过扫描式电子显微镜(SEM)得到图案化光刻胶层或晶圆图案的全部或所选择的一部分的SEM轮廓或SEM测量的关键尺寸(CD)。
在操作250完成之后,或者在操作250期间中,具有在操作250中得到的测量的图案化光刻胶层或晶圆图案的电脑可读取格式的SEM轮廓或SEM测量的关键尺寸可以通过扫描电子显微镜(SEM)传输到电脑或电脑系统。
接着,在操作260中,通过电脑系统的电脑比较所接收的具有在操作250中得到的测量的图案化光刻胶层或晶圆图案的电脑可读取格式的SEM轮廓或SEM测量的关键尺寸,以及从操作240输出的模拟/计算轮廓或图案化光刻胶层的模拟/计算关键尺寸。
在操作260中的比较代表所接收的SEM轮廓或SEM关键尺寸与模拟/计算轮廓或模拟/计算关键尺寸彼此匹配,或者它们之间的差异在既定误差范围中的情况下(在操作270中为“是”),在操作220中设定的模型参数可以被使用在图1所示的后续操作中,并且操作“A”完成。
相反地,在操作260中的比较代表了所接收的SEM轮廓或SEM关键尺寸与模拟/计算轮廓或模拟/计算关键尺寸之间的差异在超出既定误差范围(在操作270中为“否”),或者代表了尚未完成既定数量的模型建造叠代的情况下,包括操作272、230、240、260以及270的叠代操作可以重复,直到在叠代操作中的后续操作260的新比较结果,其比较结果代表所接收的SEM轮廓或SEM关键尺寸与模拟/计算轮廓或模拟/计算关键尺寸彼此匹配,或者它们之间的差异在既定误差范围中的情况下(在操作270中为“是”),或者直到完成既定数量的叠代操作(在叠代操作中的后续操作270中为“是”)。
所属技术领域中技术人员应理解既定误差范围及/或既定数量的叠代操作可以通过使用者通过电脑提供的使用者界面选择,或者根据现有设计成为可得的预设值存储在电脑或电脑系统中。
所属技术领域中技术人员应理解在操作272中,可以通过增加或减少先前所使用的模型参数的既定值来自动或手动调整模型参数。在这方面,在操作220中设定的初始模型参数可以用作参考,以在叠代操作的第一循环的操作272中设定模型参数。在随后的叠代操作期间中,在操作220中设定的初始模型参数不应用于操作230。所属技术领域中技术人员应理解,在叠代操作中,基于来自操作220的输出,可以维持在操作272中未被调整的其他参数的值。
如上面所述,在一些实施例中,模型参数包括长程效应的第一参数以及半导体结构的几何特征和半导体结构的制程的第二参数。模型参数被应用以在可允许误差范围内或在叠代操作的最终循环中所使用的与SEM轮廓或关键尺寸匹配的模拟/计算轮廓或关键尺寸,并且可以通过在第2图中所示的操作“A”找到。
在参照图2所述的叠代期间中,第一参数和第二参数一起被优化。然而,本公开不限于此。在其他实施例中,可以通过执行第一循环来优化第一参数(或第二参数)和嵌入第一循环中的第二循环来优化第二参数(或第一参数),以一个接一个地优化第一参数和第二参数。
参照图2所述的叠代可以称为光学邻近校正(OPC)。光学邻近校正能够产生掩模图案,使得所产生的掩模图案能够在半导体晶圆上形成具有与芯片目标的容许差异的晶圆图案。根据一些实施例,光学邻近校正程序用于校正邻近效应和长程效应。
参照图2所描述的光学邻近校正和稍后将在操作300中描述的光学邻近校正及其技术不特别限于上述示例。举例来说,额外的效应/特征可以被考虑,并且在光学邻近校正中建造模型。
在一些实施例中,光学邻近校正包括移动主要特征的边缘,并且将辅助特征加入至掩模数据。在多个实施例中,主要特征被调整大小、重新定位及/或重新塑形。在另一个实施例中,各种辅助特征(例如散射条(scattering bar))被加入至掩模数据。在又一个实施例中,衬线(serif)或锤头(hammerhead)被加入至掩模数据。辅助特征可以被放置在远离主要特征(例如散射条)的位置或被放置与主要特征(例如衬线和锤头)接触。
在一些实施例中,可以考量环境影响(例如在集成集成电路中那些与主要特征接近的图案,其比其他图案更重要)实施光学邻近校正程序。环境影响包括蚀刻负载效应、光刻图案化的负载效应或化学机械研磨(CMP)制程的图案密度。在光学邻近校正程序中可以通过模型卷积(model convolution)来考虑这些环境影响。在一个实施例中,环境引发尖角圆化关键阶级(environment-induced-corner-rounding critical level)可通过模型卷积被定义并并入至基于模型的光学邻近校正程序中。在一些实施例中,光学邻近校正程序模拟晶圆制造程序,其包括光刻曝光制程的成像效应、光刻胶层在光刻曝光制程中对光辐射的反应和在显影制程中的显影分辨率,及/或从光刻胶层转移图案到下面半导体基板的材料层的蚀刻制程。在一些实施例中,在光学邻近校正程序期间,调整了与所选择的(多个)半导体结构及/或附近半导体结构(“结构参数”)相关的参数的变化,例如半导体结构的宽度及/或长度、到相邻半导体结构的距离以及相邻半导体结构的宽度或尺寸。在一些实施例中,光学邻近校正程序的叠代还可以包括引入“制程参数”的变化,例如照明类型、照射剂量、焦点、光刻胶特性、倍缩掩模特性以及蚀刻特性。
在一些实施例中,光学邻近校正程序是基于模型的。光学邻近校正模型模拟了将应用于半导体晶圆的光刻曝光制程的成像效应。在基于模型的光学邻近校正程序期间,如上所述调整主要特征(加入辅助特征、调整大小、重新定位及/或重新塑形),接着通过光学邻近校正模型模拟修改的掩模数据,从而产生模拟晶圆图案。模拟晶圆图案进一步与晶圆目标进行比较,以评估修改的掩模数据是否可接受。评估通过合适的程序实施,例如边缘放置误差或区域差异。在一个特定的实施例中,各种目标点被分配至晶圆目标。在模拟的晶圆轮廓距离目标点在可容许距离内的情况下,修改的掩模数据被认为是可接受的。
如参照图2所述,上面所述的在光学邻近校正程序中的光学邻近校正模型可以通过第一参数和第二参数表示,以对测试布局执行光学邻近校正模型校正。因此,校正了包括长程效应的第一参数以及半导体结构的几何特征或制造半导体结构的制程的第二参数。在下文中,包括长程效应的第一参数和半导体结构的几何特征或制造半导体结构的制程的第二参数的这种模型参数将被称为校正后的模型参数。根据其他非限制性实施例,在操作220中选择的模型参数可以包括指示短程效应的第三参数,并且“A”中的叠代可以包括优化第三参数,其优化方式与第一或第二参数的优化方式类似。
在图1中的方法1000包括操作120,除了操作120使用了集成集成电路布局数据,而不是使用测试结构的布局之外,操作120可以与操作204相同或相似。
方法1000包括操作290,以产生光学邻近校正模型,其具有如在图2中所示的一系列操作“A”提供的校正后的模型参数。为了区分具有校正后的模型参数的光学邻近校正模型与不具有校正后的模型参数的光学邻近校正模型,具有校正后的模型参数的光学邻近校正模型将被称为校正后的光学邻近校正模型。
接着,在操作130中,考虑到从操作120输出的计算的粗网栅掩模图案密度和具有从操作290输出的具有掩模长程参数的校正后的光学邻近校正模型,可以计算出长程校正图。
接着,在操作140中,基于在操作130中执行的计算,产生长程校正图(即电子文件),使得长程校正图被存储以在后续被接收并被重复使用。在长程校正图中的网栅可以包含代表校正参数的信息,包括用于这种网栅的长程效应的参数。
方法1000包括操作300,基于具有掩模长程参数的校正后的光学邻近校正模型,对掩模布局执行光学邻近校正(OPC)程序,以将晶圆图案符合在操作110中形成的最终晶圆目标(如果操作110被省略,则是集成电路设计布局),掩模长程参数通过使用在操作140中产生的长程校正图从操作290中输出。在一些实施例中,在操作300中执行的光学邻近校正通过修改集成电路设计布局来校正成像误差。在一些实施例中,在操作300中执行的光学邻近校正校正了在电子束直写期间由背向电子散射所引起的长程效应以制造掩模,因为校正后的光学邻近校正模型参数(包括在操作“A”中的长程效应的优化第一参数以及半导体结构的几何特征或制程的优化第二参数)被用作在操作300中的基础。如此,通过在操作300中的光学邻近校正,可以得到优化掩模布局。
方法1000包括操作310,基于在操作300中提供的优化掩模布局,产生掩模数据,例如分割掩模图案及/或对应的电子束射图。在一些实施例中,操作310包括掩模数据准备以产生电子束射图。掩模数据准备包括将掩模图案分割成多边形或其他合适形状,并且在一些实施例中还包括为每一个多边形定义剂量(dose)。
方法1000包括操作320,基于分割掩模布局或电子束射图制造掩模。在一些实施例中,基于电子束射图使用电子束或多重电子束机制以在掩模上形成图案。掩模可以在各种合适技术中被设计。在一个实施例中,掩模被设计以具有一个二元图案。在此情况下,掩模图案包括暗区(dark regions)及亮区(bright regions)。辐射束(例如:紫外光或紫外光束)被用以曝光涂布在晶圆上的感光(photo-sensitive)材料层(例如光刻胶),并且被暗区阻挡以及穿通过亮区。在一个实施例中,二元掩模包括透明基板(例如:熔融石英)以及涂布在掩模的暗区的不透明材料(例如:铬)。在另一个实施例中,掩模被设计具有相位移并且被称为相位移掩模(PSM),被形成在掩模上的图案的多个特征被配置以具有合适的相位差以提升分辨率及成像品质。在多个实施例中,相位移掩模在现有中可以是衰减相位移掩模(attenuated PSM)或交替相位移掩模(alternating PSM)。在一些实施例中,掩模是具有反射图案的极紫外光(EUV)掩模。在一个实施例中,极紫外光掩模包括具有合适材料的基板,例如低热膨胀材料(LTEM)。在多个实施例中,低热膨胀材料包括熔融石英、掺杂二氧化钛(TiO2)的二氧化硅(SiO2)或其他具有低热膨胀的合适材料。极紫外光掩模包括沉积在基板上的反射多重层(ML)。多重层包括多个薄膜对,例如钼-硅(Mo/Si)薄膜对(例如:在每个薄膜对中的硅层上方或下方的钼层)。或者,多重层可包括钼-铍(Mo/Be)薄膜对或其他可被配置以高度反射极紫外光的合适材料。极紫外光掩模可还包括设置在多重层上用于保护的覆盖层(例如钌(Ru))。极紫外光掩模还包括设置在多重层上的吸收层(例如氮化硼钽(TaBN)层)。吸收层被图案化以定义集成电路(IC)的一个半导体层。或者,另一个反射层可被沉积在多重层上,并且被图案化以定义集成电路的一个半导体层,从而形成极紫外光相位移掩模。
在一些实施例中,方法1000还包括操作330,使用来自操作320制造的掩模来制造集成电路,以建构具有图案的一或多个层,其图案通过光刻制程和蚀刻制程转移自制造的掩模。在多个实施例中,图案化材料层包括蚀刻材料层(例如蚀刻半导体层、蚀刻介电材料层或蚀刻导电材料层),或可选地包括掺杂半导体层(例如掺杂硅层)。如上面所述,晶圆制造程序包括光刻曝光制程、显影制程以及蚀刻制程(或离子布植制程)。由于晶圆制造程序的各种制程因素,例如光刻胶模糊、掩模绕射、投影成像分辨率、酸扩散(acid diffusion)及/或蚀刻偏差,在半导体晶圆上形成的实际晶圆图案与晶圆目标图案不同,晶圆目标图案是最终的晶圆目标或集成集成电路设计布局。
尽管未在附图中显示,但是掩模邻近校正(MPC)操作可以执行在操作300中的光学邻近校正之后,但执行在操作310之前。
然而,根据一些实施例,因为在图1和图2中所示的操作已经校正了在掩模制造程序中的长程效应,在方法1000中可以省略用于在掩模制造程序中校正长程效应的掩模邻近校正操作。因此,通过省略掩模邻近校正操作,与在掩模邻近校正中而不是在光学邻近校正中校正长程效应的示例相比,使用了较少的计算来得到用于掩模制造的电子束射图,并且可以缩短合成掩模图案的周转时间。
在一些实施例中,由于在方法1000中未使用掩模邻近校正操作,因此可以避免可能在掩模邻近校正操作中引入的额外误差,从而改善模型建造精确度。
根据一些实施例,在方法1000中,在模型校正期间使用粗网栅掩模密度图,并且在光学邻近校正期间使用粗网栅校正图,从而与仅使用常规的细网栅计算的示例相比,减少了计算时间。
根据一些实施例,图3A和图3B显示了在图1和图2的方法1000中的不同站点中,使用布局图案形成布局图案或结构的示例。
图3A显示了矩形311,矩形311表示了将要制造在半导体基板上的结构。在一个实施例中,矩形311定义了将要在半导体基板上形成的接触孔,尽管接触孔不限于矩形并且可以是多边形(或梯形)或其他合适的形状。在一些实施例中,矩形311可以在图1所示的操作100中产生。
图3B显示了在操作110中产生的结构312,结构312从在操作100中所产生的矩形311导出。由于与矩形311相比,结构312的角落部分是圆形的,因此作为最终晶圆目标的结构312可以允许叠代模拟在将模拟晶圆图案与晶圆目标进行比较时更有效地收敛,以确定在操作300中的差异。在一些实施例中,相同的矩形311可以保持为如图1所示的操作110中的最终晶圆目标。
根据一些实施例,制造集成电路的方法的操作不限于在图1和图2中所示的那些操作。根据设计细节可以对方法1000进行修改。参照下面将要描述的图4至图6,这些特征将更加显而易见。
图4是为根据本公开实施例的用于制造集成电路(IC)(尤其是集成电路设计和掩模制造)的另一种方法2000的流程图。
在图4中的“A”所表示的操作与在图1中的“A”所表示的操作相同。为了避免赘述,将省略在图4中与上述所述的操作相同的操作,并仅描述在图4中所示的与图1中所示的操作不同的操作。
在一些实施例中,在图4所示的方法2000中不产生在参照图1所描述的方法1000中所产生的长程校正图140。相反地,参照图4,在操作125中产生长程密度图(即粗网栅密度图),并且在光学邻近校正操作305中使用长程密度图。在光学邻近校正操作305中,使用包括长程效应和长程密度图的掩模模型来计算掩模图案形状。
参照图4,通过电脑或电脑系统在操作120中计算网栅掩模图案密度,例如粗网栅掩模图案密度。
接着,在操作305中,基于操作110提供的最终晶圆目标,在图2中所示的一系列操作提供校正后的光学邻近校正模型,并且基于在操作125中产生的粗网栅掩模密度图,考虑包括长程效应的各种制程效应来计算掩模图案形状,其在光学邻近校正叠代中重复执行,粗网栅掩模密度图代表通过操作120提供的每个网栅的位置信息和特性信息。
与在图1和图2中所示的操作相似,在方法2000中,由于在图4(在图4中的“A”表示的操作也参照图2)中所示的方法2000的操作已经校正了掩模制造程序中的长程效应,所以在掩模制造程序中用于校正长程效应的掩模邻近校正操作可以省略。因此,通过省略掩模邻近校正操作,与在掩模邻近校正中而不是在光学邻近校正中校正长程效应的示例相比,使用了较少的计算来得到用于掩模制造的电子束射图,并且可以缩短合成掩模图案的周转时间。
在一些实施例中,由于在方法2000中未使用掩模邻近校正操作,因此可以避免可能在掩模邻近校正操作中引入的额外误差,从而改善模型建造精确度。
根据一些实施例,在方法2000中,在模型校正期间使用了的粗网栅掩模密度图,并且在光学邻近校正期间使用了粗网栅校正图,从而与仅使用常规的细网栅计算的示例相比,减少了计算时间,其中粗网栅掩模密度图通过使用在操作204中计算的粗网栅掩模图案密度在操作206中产生,粗网栅校正图通过使用在操作120中计算的粗网栅图案密度在操作125中产生。
图5和图6是为根据本公开实施例的用于制造集成电路(IC)的又一种方法3000的流程图。为了便于说明,图6显示了在操作290之前的方法3000的操作B。
为了避免赘述,将省略在图5和图6中与上述参照图1和图2所述的操作相同的操作,并仅描述在图5和图6中所示的与图1和图2中所示的操作不同的操作。
在一些实施例中,与方法1000相比,方法3000可以省略在图2中所示的方法1000的操作220,并因此在方法3000中,可以不同的方式执行在方法1000的操作220中所执行的掩模长程效应的参数的选择或确定。举例来说,在方法3000中的掩模长程效应的参数的选择或确定可以基于先前的模型使用在其他设计或测量中,并且可以通过电脑或电脑系统的存储装置得到,或通过在图5中所示的方法3000的操作126中包含这种信息的另一个机台或服务器得到,其中可以考虑掩模长程效应的参数来计算长程校正图。
与图2中所示的操作220相比,可以执行图6中所示的操作221以选择或确定模型参数。举例来说,执行方法3000的电子设计自动化软件/机台实现的电脑或电脑系统提供了使用者界面,以允许设计者通过使用者界面选择或输入模型参数。举另一例来说,当正在执行操作221时,执行方法3000的电子设计自动化软件/机台实现的电脑或电脑系统读取了包含存储在本地或远程存储装置中的模型参数的文件。所选择或确定的模型参数不包括长程效应的参数,而是包括其他模型参数,例如上面所述的半导体结构的几何特征或制造半导体结构的制程的第二参数。长程效应的参数也可以使用在操作206之后的操作208中。
在图6中所示的操作208中,可以通过使用在操作206中产生的粗网栅掩模密度图,和使用通过电脑或电脑系统的存储装置或包含这种信息的另一个机台或服务器得到的来自其他设计或测量的长程效应的参数,来计算掩模图案形状。
在一些实施例中,由于在操作221中选择或确定的参数不包括长程效应的参数与在操作208中使用的参数(包括代表长程效应的参数),如果执行包括循环(循环包括操作240、260、270以及273)的叠代操作,操作273会调整在操作221中选择或确定的其他模型参数,但不调整在操作208中包括长程效应的参数,这是因为代表长程效应的参数在叠代操作中被认为是固定的参数。
根据一些实施例,由于在图5和图6中所示的操作已经校正了掩模制造中的长程效应,在方法3000中可以省略用于校正掩模制造程序中的长程效应的掩模邻近校正操作。因此,通过省略掩模邻近校正操作,与在掩模邻近校正中而不是在光学邻近校正中校正长程效应的示例相比,使用了较少的计算来得到用于掩模制造的电子束射图,并且可以缩短合成掩模图案的周转时间。
在一些实施例中,由于在方法3000中未使用掩模邻近校正操作,因此可以避免可能在掩模邻近校正操作中引入的额外误差,从而改善模型建造精确度。
根据一些实施例,在方法3000中,在模型校正期间使用粗网栅掩模密度图,并且在光学邻近校正期间使用粗网栅校正图,从而与仅使用细网栅计算的示例相比,减少了计算时间。
与参照图1、图2以及图4所描述的实施例相比,在参照图5和图6所描述的实施例中,如果执行会确定具有长程掩模效应的校正后的光学邻近校正模型的叠代操作,可以在更短的时间内完成,这是由于代表长程效应的参数被认为是固定的参数,并且在叠代操作中涉及的变量较少。
图7是为根据本公开实施例的用于制造集成电路的系统的示意图。
系统700包括电脑或电脑系统710、掩模和集成电路制程机台720、测试机台730以及无线或有线网络740,无线或有线网络740将电脑或电脑系统710、掩模和集成电路制程机台720以及测试机台730彼此连接以允许它们之间的数据交换。
电脑或电脑系统710包括显示器711、处理器712、存储存储器713、输入/输出界面714、网络界面715以及存储装置716,存储装置716存储了操作系统717、程序或应用718(例如电子设计自动化)以及数据719。
程序或应用718可包括指令,当通过电脑或电脑系统710(或其处理器712)执行指令时,指令可以使电脑或电脑系统710(或其处理器712)执行在本公开中明确或隐含地描述的操作、方法及/或程序。
数据719可包括任何预设数据,预设数据包括建造模型中使用的预设参数、通过使用者输入由输入/输出界面714或通过从掩模和集成电路制程机台720及/或测试机台730传输的网络界面715所接收的任何数据、将在显示器711上显示的任何数据、将由无线或有线网络740传输至掩模和集成电路制程机台720及/或测试机台730的任何数据,或者是来自掩模和集成电路制程机台720及/或测试机台730的任何数据、或通过电脑或电脑系统710在计算期间产生的任何过渡数据。
掩模和集成电路制程机台720包括电子束直写器或电子束光刻机台、光刻机台等,但不限于此,并且测试工具730包括表面轮廓测量机台,例如扫描式电子显微镜,但不限于此。
根据一些实施例,光学邻近校正操作可用于校正长程效应。因此,在校正长程效应时,可以省略用于在掩模制造程序中校正长程效应的掩模邻近校正操作。因此,通过省略掩模邻近校正操作,与在掩模邻近校正中而不是在光学邻近校正中校正长程效应的示例相比,使用了较少的计算来得到用于掩模制造的电子束射图,并且可以缩短合成掩模图案的周转时间。
根据一些实施例,由于未使用掩模邻近校正操作,因此可以避免可能在掩模邻近校正操作中引入的额外误差,从而改善模型建造精确度。
根据一些实施例,在模型校正期间使用粗网栅掩模密度图,并且在光学邻近校正期间使用粗网栅校正图,从而与仅使用细网栅计算的示例相比,减少了计算时间。
在一个实施例中,掩模制造方法包括通过调整多个参数,以校正光学邻近校正(OPC)模型,参数包括第一参数和第二参数,其中第一参数代表由电子束光刻机台引起的长程效应,并且第二参数代表半导体结构的几何特征或制造半导体结构的制程,电子束光刻机台用于制造掩模,掩模被使用以制造半导体结构;产生装置布局;计算出装置布局的第一网栅(grid)图案密度图;至少基于校正后的光学邻近校正模型和装置布局的第一网栅图案密度图,产生长程校正图;以及至少基于所产生的长程校正图和校正后的光学邻近校正模型,执行光学邻近校正以产生校正后的掩模布局。在一个实施例中,此方法还包括至少基于校正后的掩模布局,产生分割的掩模布局或电子束射图。在一个实施例中,此方法还包括基于分割的掩模布局或电子束射图,通过电子束光刻机台制造掩模。在一个实施例中,此方法还包括选择多个网栅中的第一网栅。第一网栅的网栅体积小于多个网栅的至少一个网栅的网栅体积,并且基于第一网栅计算出装置布局的第一网栅图案密度图。在一个实施例中,校正光学邻近校正模型的步骤包括:基于与装置布局不同的测试布局,形成具有多个测试结构的测试掩模;在光刻制程中通过使用测试布局在基板上形成图案化层;测量图案化层的轮廓或关键尺寸(CD);产生测试布局的第二网栅图案密度图;接收代表长程效应的第一参数的数值和代表半导体结构的几何特征或制程的第二参数的数值;计算出测试布局的图案化层的轮廓或关键尺寸(CD);以及叠代步骤:将代表长程效应的第一参数的数值和代表半导体结构的几何特征或制程的第二参数的数值个别地调整成多个第一数值和多个第二数值,使用掩模模型计算出掩模图案形状,掩模模型包括个别地代表长程效应和几何特征的第一数值和第二数值,以及至少基于所计算出的掩模图案形状,计算出测试布局的新计算的轮廓或新计算的关键尺寸,直到测试布局的新计算的轮廓或新计算的关键尺寸与所计算出的图案化层的轮廓或关键尺寸之间的差异在既定范围内。校正后的光学邻近校正模型包括在叠代步骤期间中所调整的第一数值中,具有最后调整数值的代表长程效应的第一参数,以及在叠代步骤期间中所调整的第二数值中代表半导体结构的几何特征或制程的第二参数。在一个实施例中,此方法还包括选择多个网栅中的第二网栅。第二网栅的网栅体积小于多个网栅的至少一个网栅的网栅体积,并且基于第二网栅计算出装置布局的第二网栅图案密度图。在一个实施例中,电脑装置包括非暂态电脑可读取媒体,非暂态电脑可读取媒体存储多个指令,当通过电脑装置的处理器执行指令时,使处理器执行上述方法。
在一个实施例中,掩模制造方法包括通过调整多个参数,以校正光学邻近校正模型,参数包括第一参数和第二参数,其中第一参数代表由电子束光刻机台引起的长程效应,并且第二参数代表半导体结构的几何特征或制造半导体结构的制程,电子束光刻机台用于制造掩模,掩模被使用以制造半导体结构;产生装置布局;计算出装置布局的第一网栅图案密度图;以及至少基于所产生的第一网栅图案密度图和校正后的光学邻近校正模型,执行光学邻近校正以产生校正后的掩模布局。在一个实施例中,此方法还包括至少基于校正后的掩模布局,产生分割的掩模布局或电子束射图。在一个实施例中,此方法还包括基于分割的掩模布局或电子束射图,通过电子束光刻机台制造掩模。在一个实施例中,此方法还包括选择多个网栅中的第一网栅。第一网栅的网栅体积小于多个网栅的至少一个网栅的网栅体积,并且基于第一网栅计算出装置布局的第一网栅图案密度图。在一个实施例中,校正光学邻近校正模型的步骤包括:基于与装置布局不同的测试布局,形成具有多个测试结构的测试掩模;在光刻制程中通过使用测试布局在基板上形成图案化层;测量图案化层的轮廓或关键尺寸(CD);产生测试布局的第二网栅图案密度图;接收代表长程效应的第一参数的数值和代表半导体结构的几何特征或制程的第二参数的数值;计算出测试布局的图案化层的轮廓或关键尺寸(CD);以及叠代步骤:将代表长程效应的第一参数的数值和代表半导体结构的几何特征或制程的第二参数的数值调整成多个第一数值和多个第二数值,使用掩模模型计算出掩模图案形状,掩模模型包括个别地代表长程效应和几何特征的第一数值和第二数值,以及至少基于所计算出的掩模图案形状,计算出测试布局的新计算的轮廓或新计算的关键尺寸,直到测试布局的新计算的轮廓或新计算的关键尺寸与所计算出的图案化层的轮廓或关键尺寸之间的差异在既定范围内。校正后的光学邻近校正模型包括在叠代步骤期间中所调整的第一数值中,具有最后调整数值的代表长程效应的第一参数,以及在叠代步骤期间中所调整的第二数值中代表半导体结构的几何特征或制程的第二参数。在一个实施例中,此方法还包括选择多个网栅中的第二网栅。第二网栅的网栅体积小于多个网栅的至少一个网栅的网栅体积,并且基于第二网栅计算出装置布局的第二网栅图案密度图。在一个实施例中,电脑装置包括非暂态电脑可读取媒体,非暂态电脑可读取媒体存储多个指令,当通过电脑装置的处理器执行指令时,使处理器执行上述方法。
在一个实施例中,掩模制造方法包括取得代表长程效应的第一参数的既定值,其中长程效应是由用于制造掩模的电子束光刻机台所引起的效应;至少基于代表长程效应的第一参数的既定值,校正光学邻近校正(OPC)模型;产生装置布局;计算出装置布局的第一网栅图案密度图;至少基于装置布局的第一网栅图案密度图和所得到的代表长程效应的第一参数的既定值,产生长程校正图;以及至少基于所产生的长程校正图和校正后的光学邻近校正模型,执行光学邻近校正以产生校正后的掩模布局。在一个实施例中,此方法还包括至少基于校正后的掩模布局,产生分割的掩模布局或电子束射图;以及基于分割的掩模布局或电子束射图,通过电子束光刻机台制造掩模。在一个实施例中,此方法还包括选择多个网栅中的第一网栅。第一网栅的网栅体积小于多个网栅的至少一个网栅的网栅体积,并且基于第一网栅计算出装置布局的第一网栅图案密度图。在一个实施例中,校正光学邻近校正模型的步骤包括基于与装置布局不同的测试布局,形成具有多个测试结构的测试掩模;在光刻制程中通过使用测试布局在基板上形成图案化层;通过测量图案化层得到图案化层的轮廓或关键尺寸;产生测试布局的第二网栅图案密度图;接收代表半导体结构的几何特征或制造半导体结构的制程的第二参数的数值;至少基于所接收的代表长程效应的第一参数的既定值和第二参数的数值,计算出测试布局的图案化层的轮廓或关键尺寸;以及叠代步骤:调整第二参数的数值,并且计算出测试布局的新计算的轮廓或新计算的关键尺寸,直到测试布局的新计算的轮廓或新计算的关键尺寸与所计算出的图案化层的轮廓或关键尺寸之间的差异在既定范围内。校正后的光学邻近校正模型包括在叠代步骤期间中所调整的第二参数的数值中,具有最后调整数值的上二参数。在一个实施例中,此方法还包括选择多个网栅中的第二网栅。第二网栅的网栅体积小于多个网栅的至少一个网栅的网栅体积,并且基于第二网栅计算出装置布局的第二网栅图案密度图。在一个实施例中,电脑装置包括非暂态电脑可读取媒体,非暂态电脑可读取媒体存储多个指令,当通过电脑装置的处理器执行指令时,使处理器执行上述方法。
以上所描述的术语“实施例”或“多个实施例”不是指相同的实施例,或者是相同的实施例,并且被提供用于强调与其他实施例或多个实施例不同的特定特征或特性。所属技术领域中技术人员应理解上述的“实施例”或“多个实施例”可被认为能够通过彼此的全部或部分组合来实现,除非提供了相反或矛盾的描述。
前述内文概述了许多实施例的特征,使本技术领域中技术人员可以从各个方面更佳地了解本公开。本技术领域中技术人员应可理解,且可轻易地以本公开为基础来设计或修饰其他制程及结构,并以此达到相同的目的及/或达到与在此介绍的实施例等相同的优点。本技术领域中技术人员也应了解这些相等的结构并未背离本公开的发明构思与范围。在不背离本公开的发明构思与范围之前提下,可对本公开进行各种改变、置换或修改。

Claims (1)

1.一种掩模制造方法,包括:
通过调整多个参数,以校正一光学邻近校正(OPC)模型,上述参数包括一第一参数和一第二参数,其中上述第一参数代表由一电子束光刻机台引起的长程效应,并且上述第二参数代表一半导体结构的一几何特征或制造上述半导体结构的一制程,上述电子束光刻机台用于制造一掩模,上述掩模被使用以制造上述半导体结构;
产生一装置布局;
计算出上述装置布局的一第一网栅(grid)图案密度图;
至少基于校正后的上述光学邻近校正模型和上述装置布局的上述第一网栅图案密度图,产生一长程校正图;以及
至少基于所产生的上述长程校正图和校正后的上述光学邻近校正模型,执行一光学邻近校正以产生一校正后的掩模布局。
CN201811359663.7A 2017-11-15 2018-11-15 掩模制造方法 Pending CN109782529A (zh)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201762586697P 2017-11-15 2017-11-15
US62/586,697 2017-11-15
US16/144,882 2018-09-27
US16/144,882 US10942443B2 (en) 2017-11-15 2018-09-27 Method of mask data synthesis and mask making

Publications (1)

Publication Number Publication Date
CN109782529A true CN109782529A (zh) 2019-05-21

Family

ID=66431659

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201811359663.7A Pending CN109782529A (zh) 2017-11-15 2018-11-15 掩模制造方法

Country Status (3)

Country Link
US (3) US10942443B2 (zh)
CN (1) CN109782529A (zh)
TW (1) TW201923443A (zh)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11875101B2 (en) 2019-06-20 2024-01-16 Asml Netherlands B.V. Method for patterning process modelling

Families Citing this family (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10942443B2 (en) * 2017-11-15 2021-03-09 Taiwan Semiconductor Manufacturing Co., Ltd. Method of mask data synthesis and mask making
US11204897B2 (en) 2018-10-31 2021-12-21 Taiwan Semiconductor Manufacturing Co., Ltd. Importing and exporting circuit layouts
CN114556210A (zh) * 2019-11-04 2022-05-27 美商新思科技有限公司 在校正光刻掩模中使用掩模制造模型
US11481922B2 (en) * 2020-04-07 2022-10-25 Kla Corporation Online navigational drift correction for metrology measurements
KR20220022527A (ko) 2020-08-18 2022-02-28 삼성전자주식회사 Opc 방법 및 이를 이용한 반도체 소자의 제조 방법
US20230008858A1 (en) * 2021-07-08 2023-01-12 Applied Materials, Inc. Gradient doping epitaxy in superjunction to improve breakdown voltage

Family Cites Families (15)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20040225488A1 (en) 2003-05-05 2004-11-11 Wen-Chuan Wang System and method for examining mask pattern fidelity
US8234601B2 (en) 2010-05-14 2012-07-31 International Business Machines Corporation Test pattern for contour calibration in OPC model build
TWI461832B (zh) * 2011-01-13 2014-11-21 Inotera Memories Inc 製造光罩的方法
US9400857B2 (en) * 2011-09-19 2016-07-26 D2S, Inc. Method and system for forming patterns using charged particle beam lithography
US9367655B2 (en) 2012-04-10 2016-06-14 Taiwan Semiconductor Manufacturing Company, Ltd. Topography-aware lithography pattern check
US8631360B2 (en) 2012-04-17 2014-01-14 Taiwan Semiconductor Manufacturing Company, Ltd. Methodology of optical proximity correction optimization
US8745550B2 (en) 2012-07-09 2014-06-03 Taiwan Semiconductor Manufacturing Company, Ltd. Fracture aware OPC
US8739080B1 (en) 2012-10-04 2014-05-27 Taiwan Semiconductor Manufacturing Company, Ltd. Mask error enhancement factor (MEEF) aware mask rule check (MRC)
US9484186B2 (en) 2012-10-23 2016-11-01 Synopsys, Inc. Modeling and correcting short-range and long-range effects in E-beam lithography
US8975195B2 (en) 2013-02-01 2015-03-10 GlobalFoundries, Inc. Methods for optical proximity correction in the design and fabrication of integrated circuits
US8972908B2 (en) 2013-07-30 2015-03-03 Taiwan Semiconductor Manufacturing Company, Ltd. Method for electron beam proximity correction with improved critical dimension accuracy
CN104570586B (zh) 2013-10-23 2019-03-29 中芯国际集成电路制造(北京)有限公司 光学邻近修正模型的获取方法
US9679100B2 (en) 2015-08-21 2017-06-13 Taiwan Semiconductor Manufacturing Company, Ltd. Environmental-surrounding-aware OPC
US10460071B2 (en) * 2015-11-04 2019-10-29 D2S, Inc. Shaped beam lithography including temperature effects
US10942443B2 (en) * 2017-11-15 2021-03-09 Taiwan Semiconductor Manufacturing Co., Ltd. Method of mask data synthesis and mask making

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11875101B2 (en) 2019-06-20 2024-01-16 Asml Netherlands B.V. Method for patterning process modelling

Also Published As

Publication number Publication date
US20190146328A1 (en) 2019-05-16
US10942443B2 (en) 2021-03-09
US11415890B2 (en) 2022-08-16
TW201923443A (zh) 2019-06-16
US11947254B2 (en) 2024-04-02
US20210191254A1 (en) 2021-06-24
US20220373878A1 (en) 2022-11-24

Similar Documents

Publication Publication Date Title
CN109782529A (zh) 掩模制造方法
US10962875B2 (en) Method of mask simulation model for OPC and mask making
US10915090B2 (en) Synchronized parallel tile computation for large area lithography simulation
TWI233149B (en) Pattern-forming method and manufacturing method of semiconductor device
KR100661952B1 (ko) 마스크 데이터 생성 시스템, 방법 및 프로그램, 노광마스크, 및 반도체 장치 제조 방법
CN106469235A (zh) 集成电路方法以及集成电路设计系统
CN104698773B (zh) 光刻对准标记结构及其制造方法
US11675958B2 (en) Lithography simulation method
US7302673B2 (en) Method and system for performing shapes correction of a multi-cell reticle photomask design
CN109559979A (zh) 集成电路制造方法
CN107885043A (zh) 增进微影可印性的方法
CN108121151A (zh) 具有任意角的图案的掩模建模方法
CN108227393A (zh) 目标最佳化方法
CN108121150A (zh) 掩模建模方法
WO2001053892A1 (en) Mask having an arbitrary complex transmission function
CN110658675A (zh) 光罩的制作方法
TWI773900B (zh) 積體電路佈圖調整及處理方法以及積體電路佈圖處理系統
CN109828433A (zh) 校正掩模布局的方法和使用其制造半导体器件的方法
CN109656093A (zh) 设计光掩模的布局的方法以及制造光掩模的方法
KR20210156399A (ko) Opc 방법 및 이를 이용한 반도체 소자의 제조 방법
JP4153678B2 (ja) マスクデータ生成方法、露光マスク作成方法およびパターン形成方法
JP4831802B2 (ja) 任意の複合透過機能を有するマスク
US8426087B2 (en) Photomask, manufacturing apparatus and method of semiconductor device using the same, and photomask feature layout method
KR20230065448A (ko) 마스크 제작 방법 및 이를 이용한 반도체 장치 제조 방법
CN113158609A (zh) 半导体器件及其制造方法

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
WD01 Invention patent application deemed withdrawn after publication
WD01 Invention patent application deemed withdrawn after publication

Application publication date: 20190521