CN109582995A - Manufacturing method for integrated curcuit and its manufacture system - Google Patents

Manufacturing method for integrated curcuit and its manufacture system Download PDF

Info

Publication number
CN109582995A
CN109582995A CN201810939965.5A CN201810939965A CN109582995A CN 109582995 A CN109582995 A CN 109582995A CN 201810939965 A CN201810939965 A CN 201810939965A CN 109582995 A CN109582995 A CN 109582995A
Authority
CN
China
Prior art keywords
mask
wafer
pattern
model
photoetching
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CN201810939965.5A
Other languages
Chinese (zh)
Other versions
CN109582995B (en
Inventor
黄旭霆
周自翔
刘如淦
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Original Assignee
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Taiwan Semiconductor Manufacturing Co TSMC Ltd filed Critical Taiwan Semiconductor Manufacturing Co TSMC Ltd
Publication of CN109582995A publication Critical patent/CN109582995A/en
Application granted granted Critical
Publication of CN109582995B publication Critical patent/CN109582995B/en
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/30Circuit design
    • G06F30/36Circuit design at the analogue level
    • G06F30/367Design verification, e.g. using simulation, simulation program with integrated circuit emphasis [SPICE], direct methods or relaxation methods
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/36Masks having proximity correction features; Preparation thereof, e.g. optical proximity correction [OPC] design processes
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/68Preparation processes not covered by groups G03F1/20 - G03F1/50
    • G03F1/76Patterning of masks by imaging
    • G03F1/78Patterning of masks by imaging by charged particle beam [CPB], e.g. electron beam patterning of masks
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/68Preparation processes not covered by groups G03F1/20 - G03F1/50
    • G03F1/80Etching
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/16Coating processes; Apparatus therefor
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/20Exposure; Apparatus therefor
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/38Treatment before imagewise removal, e.g. prebaking
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/20Design optimisation, verification or simulation
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/30Circuit design
    • G06F30/39Circuit design at the physical level
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/30Circuit design
    • G06F30/39Circuit design at the physical level
    • G06F30/398Design verification or optimisation, e.g. using design rule check [DRC], layout versus schematics [LVS] or finite element methods [FEM]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • H01L21/0277Electrolithographic processes
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F2119/00Details relating to the type or aim of the analysis or the optimisation
    • G06F2119/18Manufacturability analysis or optimisation for manufacturability
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/20Sequence of activities consisting of a plurality of measurements, corrections, marking or sorting steps

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Theoretical Computer Science (AREA)
  • General Engineering & Computer Science (AREA)
  • Geometry (AREA)
  • Evolutionary Computation (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Manufacturing & Machinery (AREA)
  • Power Engineering (AREA)
  • Plasma & Fusion (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)
  • Preparing Plates And Mask In Photomechanical Process (AREA)

Abstract

The disclosure provides a kind of manufacturing method for integrated curcuit, (compound lithography computational is calculated including establishing a mask model and a compound photoetching, CLC) model, aforementioned mask model is to simulate a mask images, and above-mentioned compound photoetching computation model is to simulate a wafer pattern;Aforementioned mask model is corrected using the mask images of a measurement;Above-mentioned compound photoetching computation model is corrected using the aforementioned mask model after the wafer data of a measurement and correction;And one optical near-correction (OPC) program is executed to an integrated circuit patterns using the above-mentioned compound photoetching computation model after correction, to generate the mask pattern for being used for mask process.

Description

Manufacturing method for integrated curcuit and its manufacture system
Technical field
This disclosure relates to a kind of manufacturing method for integrated curcuit and its manufacture system, more particularly to using optical near-correction Manufacturing method for integrated curcuit and manufacture system.
Background technique
When integrated circuit technique is constantly carried out to (such as 32 nanometers, 28 nanometers, 20 nanometers or more of smaller characteristic size It is small) when, IC design more faces the challenge.The efficiency of circuit is designed by various circuit patterns (such as impure well, source electrode And drain electrode, grid, interlayer hole (vias)/contact hole (contacts) and other circuit features) imaging seriously affect.When When advanced circuit design has the three-dimensional structure of fin active region, it is more tired to form the circuit feature for having and being suitble to shape and size It is difficult.In order to improve transfer one layout a to wafer when at image effect, optical near-correction (OPC) is required.Design Pattern is adjusted to generate an image with improved impressionability on wafer.However, final wafer results with it is various Technique and factor are related.Lithographic printing ability is limited to that photoresist is fuzzy, mask diffraction, projection imaging resolution ratio, mask direct write The electron beam of (mask writing) is fuzzy, photoresist, etching and/or other factors.Existing method is in circuit performance and work The wafer results of optimization cannot be effectively provided in skill cost.Specifically, in certain steps of simulation (such as correction), mask Diffraction is (isolated) not being isolated with other factors.
Therefore, it is necessary to a kind of mask simulation method and mask manufacture methods to effectively reduce patterning error and noted earlier Problem.
Summary of the invention
The disclosure provides a kind of manufacturing method for integrated curcuit, and the above method includes establishing a mask model and a complex light Computation model is carved, aforementioned mask model is to simulate a mask images, and above-mentioned compound photoetching computation model is to simulate a wafer Pattern;Aforementioned mask model is corrected using the mask images of a measurement;Using above-mentioned after the wafer data of a measurement and correction Mask model corrects above-mentioned compound photoetching computation model;And it is integrated to one using the above-mentioned compound photoetching computation model after correction Circuit pattern executes an optical near-correction (OPC) program, to generate the mask pattern for being used for a mask process.
The disclosure provides a kind of manufacturing method for integrated curcuit, and the above method includes the mask images correction one using a measurement Mask model;A compound photoetching computation model is corrected using the aforementioned mask model after the wafer data of a measurement and correction;With And one optical near-correction program is executed to an integrated circuit patterns using the above-mentioned compound photoetching computation model after correction, thus Generate the mask pattern for being used for a mask process.
The disclosure provides a kind of integrated circuit production system, and above system includes a mask data module, is designed to receive Collect mask imaging data;One wafer data module is designed to collect wafer fabrication data from a fabrication mask program;One One correction module is designed to correct a mask model based on aforementioned mask imaging data;One second correction module, be designed with A compound photoetching computation model is corrected based on above-mentioned wafer fabrication data;And an optical near-correction module, be designed so that An optical near-correction program is executed with aforementioned mask model and above-mentioned compound photoetching computation model.
Detailed description of the invention
The viewpoint of the disclosure can more preferably understand from subsequent embodiment and attached drawing.Notice schematic diagram is example, and not It is had no with feature and is illustrated in this.The size of different characteristic may be increased or decreased arbitrarily with clear discussion.
Fig. 1 is the flow chart according to the manufacture integrated circuit of the embodiment of the present invention.
Fig. 2 is the flow chart according to the manufacture integrated circuit of the embodiment of the present invention.
Fig. 3 and Fig. 4 is the schematic diagram according to the IC design layout in multiple design websites of the embodiment of the present invention.
Fig. 5 to Fig. 8 is the main spy according to the IC design layout in multiple design websites of the embodiment of the present invention The schematic diagram of sign.
Fig. 9 to Figure 12 is the schematic diagram according to the semiconductor crystal wafer in multiple technique websites of the embodiment of the present invention.
Figure 13 is the schematic diagram according to the optical near-correction system of the method for Fig. 1 and Fig. 2 of the embodiment of the present invention.
Figure 14 is the schematic diagram according to the measuring system of picture of the embodiment of the present invention.
Description of symbols:
100~method
102-110~operation
200~method
202-212~operation
300~IC design layout
302-308~circuit feature
400~final wafer pattern
402~redundancy feature
500~main feature
600~objective wafer pattern
800~mask pattern
900~semiconductor crystal wafer
910~semiconductor substrate
920~material layer
930~photoresist layer
1300~optical near-correction system
1302~IC design layout
1304~optical near-correction module
1306~compound photoetching computation model module
1308~mask model module
1310~data wafer data
1312~mask data
1314~final mask pattern
1316~fabrication mask module
1318~wafer manufactures module
A~compound photoetching calculates builder
B~corrector
C~collector
D~mask model builder
E~corrector
F~collector
1400~measuring system of picture
1402~radiation source
1404~illuminator
1406~mask carrying stage
1408~mask
1410~projecting optical device
1412~image platform
1414~visual detector
Specific embodiment
It should be appreciated that disclosure below provides many different embodiments or example to implement the different characteristic of the disclosure. Disclosure below describes the particular example of each component and its arrangement mode, to simplify explanation.Certainly, these specific models Example is not limited to.Disclosure difference example may reuse identical reference symbol and/or label.These repeat in order to Simplification and clearly purpose, are not limited to have specific relationship between the different embodiments discussed and/or structure.
Fig. 1 is according to the flow chart of the method 100 of one integrated circuit of manufacture of the embodiment of the present invention, especially to integrated electricity The method that road design, fabrication mask and wafer pattern are turned into one integrated circuit of manufacture of optical near-correction (OPC) program.
Method 100 calculates (compound by establishing a mask model and a compound photoetching in operation 102 Lithography computational, CLC) model is as starting.These models, which use, comes from fabrication mask program and wafer The historical data of fabrication schedule is established.Specifically, mask model is established to simulate in patterning semiconductor substrate (example Such as a wafer, Silicon Wafer or other suitable semiconductor wafers) a photoetching process in, the mask imaging on semiconductor substrate.Tool For body, mask model considers two factors: the mask diffraction and projection of corresponding lithography system.In a photoetching process In, the circuit pattern being defined on mask is projected in a photoresist (or the simple optical photoresist of coating on a semiconductor substrate (simply resist)) layer.Mask pattern will cause the diffraction of the light from lithography system light source, and this light is into one Step is projected by the projecting lens of lithography system, to form mask pattern on crystal column surface (or photoresist layer of coating) Image.The image of mask pattern, or only mask images are determined by mask diffraction and projection.The foundation of mask model It is based on theory analysis (such as optical imaging analysis and formulation), engineering input and historical manufacturing data, such as from space The data of image (aerial image) (can be collected from measuring system of picture (IMS)).For example, usage history manufaturing data (such as using least square fitting) determines coefficient or other parameters in mathematical model.In some embodiments, mask mould Type is defined by the overall strength of part people having the same aspiration and interest light source (coherent illumination source), and formula is as follows:
Wherein x is picture position, and mp is one group of mask model parameters, and α, β and γ are polarization state,For in wave direction AmountOn illumination light intensity, f ' and f " are the wave direction on pupil plane (pupil plane) Amount,For lens pupil (pupil) function,For from mask profile electromagnetic field (EMF) simulation mask diffraction, with And ItotIn the luminous intensity of picture position x and to be defined as the image of mask.
In some embodiments, mask model is taken core folding product (kernel convolution) method and is defined as Following formula:
Wherein Iα(x, y, mp) is the light image after diffraction,
mγ(x, y) be from the function after actual mask layout modification,
Vα γ, i(x, y, mp) is core (kernel), and
Mp is one group of mask model parameters.
In operation 102, with the compound photoetching computation model of mathematical formulae construction, a photoetching process and volume are being passed through with simulation Integrated circuit patterns should when the etch process for including outside forms a wafer profile of integrated circuit patterns on a semiconductor wafer Wafer profile.All in all, it is coated on a semiconductor substrate when the integrated circuit patterns being defined on mask are transferred to When one photoresist layer, patterned photoresist layer profile it is related with Multiple factors, these factors include mask diffraction, imaging Projection is reacted with the photoresist of photon and photoresist developing.If wafer profile refers to through etch transfer to semiconductor-based The profile of the pattern of the material layer of plate, then it is further related with corresponding etch process.The foundation of compound photoetching computation model is Based on theory analysis (such as in addition to optical imaging analysis and formulation, separately have reacted with the photoresist of light, photoresist developing and erosion Carve), engineering input and historical manufacturing data, such as data from photoetching process and etch process.For example, in mathematical modulo Coefficient or other parameters in type are that usage history manufaturing data (such as using least square fitting) is determined.Suitable program It can be carried out efficiently to establish compound photoetching computation model.In some embodiments, compound photoetching computation model is established Program is the following steps are included: establish the list that can jointly simulate photoetching exposure technology, photoresist developing and etch process One mathematical model;And usage history data (such as using least square fitting) determine coefficient in mathematical model or other Parameter.Single mathematical model can be established according to various inputs, such as theory analysis, the photoresist with photon of above-mentioned technique Reaction and photoresist developing, empirical formula and engineering input.Compound photoetching computation model can be defined by following formula:
W (x)=Φ21(I (x, mp)))
Wherein W (x) is defined as a simulation wafer profile, or is transferred to and partly leads by a photoetching process and an etch process One pattern of structure base board.X is represented in a position of a two-dimentional Cartesian coordinate;Φ1It is defined as a photoetching agent pattern function, is used To simulate the characteristic of the photoresist of coating on a semiconductor wafer;And Φ2It is defined as a wafer pattern function, to simulate It is applied to the characteristic of a corresponding etch process of semiconductor substrate.
Method 100 also includes an operation 104 to collect or measure one or more mask images used in correction mask model. The measurement of mask images can be embodied in a lithographic exposure systems or a measuring system of picture (IMS), and the two will be begged for subsequent By.Measuring system of picture can be similar to lithographic exposure systems in the case where considering the image of mask pattern.In operation 104 In, mask images can be collected from one or more test mask, one or more product masks or combinations of the above.
In some embodiments, measuring system of picture is system similar with lithography system, and having reduces cost and not With configuration and design.Figure 14 is the schematic diagram according to a measuring system of picture 1400 of the embodiment of the present invention.Measuring system of picture 1400 can operate to generate mask images, and the mask images and the mask images that a lithography system generates are similar or identical.Citing For, measuring system of picture 1400 can be used the radiation source with different wave length and more preferably be surveyed with amplifying mask images Amount and analysis.In at least some embodiments, measuring system of picture 1400 includes a radiation source 1402, an illuminator 1404, quilt It configures to fix a mask carrying stage 1406 of a mask 1408, projecting optical device 1410 and be designed to receive the figure of mask An image platform (image stage) 1412 for picture.For example, measuring system of picture 1400 may include visual detector 1414 It is configured to measure mask images and collects data from mask images.The general description of the operation of measuring system of picture 1400 can With as follows: the radiation (such as ultraviolet light) from radiation source 1402 being guided to illuminator 1404 and is projected in mask 1408 On.One mask images are guided to projecting optical device 1410, projecting optical device 1410 focuses the light into and is projected in image On platform 1412, so that mask images are measured or are collected by visual detector 1414, to be imitated by a lithography system one A mask images on one photoresist layer of wafer.In addition, in various embodiments, each subsystem of measuring system of picture 1400 System can be accommodated in a chamber to reduce environmental disturbances.
In embodiment described here, radiation source 1402 can be used to generate extreme ultraviolet.In some embodiments, spoke Penetrating source 1402 can be a ultraviolet light (UV), deep ultraviolet light, extreme ultraviolet or other suitable light, such as the light with long wavelength. As described above, the light from radiation source 1402 is directed to illuminator 1404.In some embodiments, illuminator 1404 can wrap Optical imagery component (optical image component) is included, such as lens are the light from radiation source 1402 to be directed to On mask carrying stage 1406, and more particularly it is directed to the mask 1408 being fixed on mask carrying stage 1406.In some implementations Example in, illuminator 1404 can be configured to according to specific pupil shape come to pass through light moulding (including a such as dipole shape, One quadrupole shape, a tubular shape, a monochromatic light harness shape, multiple beam shape and/or combinations of the above).In some embodiments, Illuminator 1404 can be operated to configure optical imaging unit to provide the illumination needed to mask 1408.In some embodiments, Illuminator 1404, which is configured to provide mask 1408, illuminates (on-axis illumination, ONI) on an axis.In some realities It applies in example, illuminator 1404 is configured to provide an off-axis illumination (off-axis illumination, OAI) to mask 1408.
As described above, measuring system of picture 1400 also includes mask carrying stage 1406, and mask carrying stage 1406 is configured to solid Hold mask 1408.Light is guided from mask 1408, and is more directed to projecting optical device 1410, projecting optical device 1410 Collect the light from mask 1408.For example, have by the light belt that projecting optical device 1410 is collected through 1408 institute of mask One image of the pattern of definition.In various embodiments, projecting optical device 1410 is to the imaging masks on image platform 1412 1408 pattern.Specifically, in various embodiments, projecting optical device 1410 focuses collected light and projects light On visual detector 1414.
In certain embodiments, illuminator 1404 imitates the illumination configuration in a lithography system, and numerical aperture NA1 It is identical as the numerical aperture of the entrance pupil in practical projection lithography system.In certain embodiments, numerical aperture NA2 is very It is small, it is amplified mask images and can be by (such as the photosensitive coupling element (CCD) or other are suitable of visual detector 1414 Visual detector) it is measured.
Measuring system of picture 1400 may include other component and can have other alternative solutions.In some embodiments In, measuring system of picture 1400 may include a pupil phase-modulator to modulate a light of the extreme ultraviolet guided from mask 1408 Phase is learned, so that light has a phase distribution along a projection pupil plane.In some embodiments, pupil phase-modulator makes With an iris filter of the configuration in projection pupil plane.For example, iris filter is used to filter out from mask Particular space frequency in 1408 light forms (spatial frequency component).In some embodiments, pupil Filter can be used as a pupil phase filter, to modulate the phase distribution of the light guided by projecting optical device 1410.
Method 100 also include one operation 106 with use from operation 104 measured by mask images with correction mask mould Type.As described above, mask model is designed to simulate mask images.Initially, the parameter in mask model will be by history system Data are made to be determined.In addition, as time goes by, lithography system and mask pattern may have drift or other variations, cause to cover Mould model has lower efficiency and accurate, thus mask model need to be corrected with capture drift as time goes by and other Variation.In disclosed method, which is based on measured mask images rather than analogue data.In existing method In, the school of mask model is based on analogue data, needs rigorous electromagnetic wave simulation data, including refractive index, film thickness Degree and pattern sidewalls angle.However, the accuracy and its flexibility of mask diffraction model are challenging.Carry out measurement Mask images data collective and uitably include above content, but factor uncorrelated to other (such as photoresist developing) every From (isolated).The measurement of mask images can be implemented in a lithography system or a measuring system of picture (IMS), and the two will be Subsequent discussion.Measuring system of picture can be similar to lithographic exposure systems in the case where considering the image of mask pattern.It is grasping Make in 104, mask images can be collected from one or more test mask, one or more product masks or combinations of the above.
In operation 106, correction can be by including that a program of mathematical computations is implemented.In this embodiment, pass through ratio Compared with the difference between the mask images of measurement and the mask images of simulation with correction mask model, and by adjusting in mask mould Parameter in type is to minimize the difference.Minimum formula in correction is to be defined as follows:
Wherein IM, α(x, mp) is the mask images of measurement, and IS, α(x, mp) is the mask images of simulation.
Alternative embodiment can be used other methods and make optimization program, such as the wafer profile of measurement.
Method 100 also includes an operation 108 to collect or measure wafer data, such as passes through photolithographic exposure technique, development Photoresist after exposure and patterned photoresist layer is used to be etched technique as etching mask to etch semiconductor substrate Material layer after, the wafer profile of the pattern of semiconductor substrate is transferred to from mask.
In some embodiments, operation 108 can collect historical data from wafer fabrication schedule, such as from lithographic patterning The data of technique and etch process, lithographic patterning technique and etch process are applied to patterned semiconductor wafer.More into In the embodiment of one step, data can be collected from corresponding photoetching equipment and etching machine.Data can be further from pattern The photoresist characteristic of wafer after change, critical size (CD) measured value are collected.
Method 100 further includes an operation 110 using the wafer data measured by the operation 108 to correct in terms of compound photoetching Calculate model.It is noted that compound photoetching computation model includes mask model, therefore the correction of compound photoetching computation model is also wrapped Include the input of aforesaid operations.By comparing the difference between the critical size of measurement and the critical size of simulation to correct complex light Computation model is carved, and then by adjusting the parameter in compound photoetching computation model to minimize the difference.Specifically, most Smallization formula is defined as foloows:
It is wherein CDM, jThe critical size of measurement is CDS, jThe critical size of simulation, and parameter p is represented in compound photoetching Various parameters in computation model, including wafer focal length, scanning machine (scanner) lens parameter, photoresist parameter and etching work Skill parameter.
Alternative embodiment can be used other methods and make optimization program, such as the wafer profile of measurement.
After the correction of mask model and the correction of compound photoetching computation model, compound photoetching computation model can be used An optical near-correction program in mask pattern optimization and fabrication mask, it is subsequent to will be described with.
Fig. 2 is the method flow diagram for integrated circuit technology according to the embodiment of the present invention.Method 200 is operating Started in 202 by receiving the IC design layout (or an IC design pattern) from a designer and being used as. In one embodiment, designer can be an IC design company.In another embodiment, designer is from semiconductor The IC design team of manufactory's separation, wherein semiconductor manufacturing factory can manufacture integrated according to IC design layout Circuit product.In various embodiments, semiconductor manufacturing factory can manufacture mask, semiconductor crystal wafer or both.Integrated electricity Road design layout includes for an IC products and based on one or more circuit diagrams designed by IC products specification Pattern layer.Mask is that a patterned substrate is used for a photoetching process to pattern semiconductor wafer.In subsequent discussion, cover Mould and contracting mask is used interchangeably again.
IC design layout is presented in one or more data files of the information with circuit pattern.Implement one In example, IC design layout is indicated with existing graphic data system format (GDS or GDSII).In other embodiments In, IC design layout can indicate in other suitable formats, such as open artistic system exchange standard (open Artwork system interchange standard, OASIS or OAS).Designer advises according to by the product manufactured Lattice implement appropriately designed program to generate IC design layout.Design program may include logical design, physical Design and/ Or arrangement and coiling.For example, a part of IC design layout includes that multiple integrated circuit features are (also known as main Feature), such as active region, impure well, source electrode and drain electrode, grid, interlayer hole (vias)/contact hole (contacts) and interlayer phase The metal wire to connect and the opening for welded gasket, these will be formed on semiconductor substrate and be arranged in semiconductor substrate On multiple material layers in.IC design layout may include certain supplemental characteristics, such as those are used for into image effect, processing The feature of promotion and/or mask identification information.
Fig. 3 is 300 schematic diagram of an IC design layout according to the embodiment of the present invention.IC design layout 300 include multiple circuit features, such as the circuit feature 302,304,306 and 308 of example.These circuit features are also known as main Feature.A part of the integrated circuit in IC products is constituted in the main feature in IC design layout 300, and And it will be formed or be defined in a material layer of semiconductor crystal wafer.Therefore, IC design layout 300 defines integrated circuit One pattern layer of product.In various embodiments, a pattern layer of IC products include for define active region, source electrode and The pattern of drain electrode, grid or contact hole feature.In one embodiment, IC design layout 300 is for defining contact hole Pattern will be formed in a dielectric materials layer of semiconductor crystal wafer.
As shown in Fig. 2, method 200 may include an operation 204, a wafer mesh is formed according to IC design layout 300 Case of marking on a map (or final wafer pattern or final objective wafer pattern).In some embodiments, operation 204 includes that redundancy spy is added (dummy features) is levied to IC design layout 300 to optimize semiconductor technology.For example, IC design Layout 300 includes the pattern for defining multiple active regions, these active regions will be formed on a semiconductor wafer.More into one In the embodiment of step, active region is formed on a semiconductor wafer by a program, which includes lithographic patterning to be formed One etching mask;Etching in semiconductor crystal wafer to form groove;Filled dielectric material in the trench;And execute a chemical machine Tool grinds (CMP) technique to form shallow trench isolation (STI) feature on a semiconductor wafer, thus defines by shallow trench isolation The active region surrounded.Chemical mechanical milling tech removes extra dielectric material and planarizes the top-level list of semiconductor crystal wafer Face.However, there may be recess and etching effects for chemical mechanical milling tech.Redundancy feature is injected towards IC design cloth Office reduces the side effect of chemical mechanical milling tech and improves the knot of chemical mechanical milling tech to adjust pattern density Fruit.In another embodiment, IC design layout includes the pattern for defining active region.Redundancy feature is inserted into collection At circuit design layout, so that (such as thermal anneal process is with active ions cloth for the thermal anneal process for applying in semiconductor crystal wafer Plant dopant) it is enhanced and is reduced or eliminated from a position to the variation of the thermal annealing of another location.In another embodiment In, IC design layout is used to define the pattern of metal wire in being connected with each other structure.Redundancy feature is injected towards integrated Area chip corner inverter circuit (die-corner-circuit-forbidden) of circuit design layout is answered with eliminating chip corner Power.In some other embodiment, operation 204 can be in suitable position (such as various process applications and the frame of consideration Frame region) it extraly or alternately include that other features are added to IC design layout, such as mask identification number (example Such as bar code), alignment mark and/or test pattern.The output of operation 204 is expected wafer pattern (final wafer pattern) or to pre- The limitation (such as target point) of phase wafer pattern.
In one embodiment, redundancy feature 402 is inserted into IC design layout 300, so that it is final to form one Wafer pattern 400, as shown in Figure 4.In this embodiment, redundancy feature 402 is added into change local pattern density, so that figure Case density has fewer variation to another position from a position, to reduce or eliminate process variation and other can not It is expected that property effect.
Method 200 includes an operation 206, by using the compound photoetching computation model from operation 110, to integrated circuit Design layout 300 executes an optical near-correction program, to generate a final mask pattern.Final mask pattern is will be by shape At the pattern on mask, further to be led by a photoetching process using the mask after patterning with patterning half Body wafer.If operation 204 exists and forms a final objective wafer pattern (final wafer pattern), optical near-correction quilt It is applied to final objective wafer pattern, to generate final mask pattern.If not forming final wafer mesh in operation 204 It marks on a map case, then can form final objective wafer pattern in operation 206 when executing optical near-correction.
Optical near-correction is performed to correct image error by modification IC design layout.Optical adjacent school Positive program generates a mask pattern, and the mask pattern so generated is allowed to form a wafer pattern on a semiconductor wafer, It has a tolerable difference with final objective wafer pattern.In this embodiment, optical near-correction program is using compound The optical near-correction based on model (model-based) of photoetching computation model.In alternate embodiments, optical adjacent school Positive program can additionally include the optical near-correction of rule-based (rule-based) and be based on table (table-based) The mixed method that optical near-correction is formed in conjunction with the optical near-correction based on model.
Optical near-correction program includes the edge of a mobile main feature and supplemental characteristic is added to mask data.? In multiple embodiments, main feature is resized, is relocated and/or refigure.In another embodiment, multiple auxiliary Feature (such as scattering strip) is added into mask data.In yet another embodiment, serif (serifs) or hammer head (hammerheads) it is added into mask data.Supplemental characteristic can be configured in apart from main feature (such as scattering strip) one The position of set a distance, or configuration is near main feature (such as serif and hammer head).
In another embodiment, can consider environment influences (such as those features close with main feature) implementation optics Near-correction program.Environment influences to include the load effect or chemical mechanical milling tech for etching load effect, lithographic patterning Pattern density.The influence of these environment is likely to be included in compound photoetching computation model.In one embodiment, environment causes point Angle sphering key class (environment-induced-corner-rounding critical level) can pass through model Convolution is defined and is incorporated into compound photoetching computation model.As described above, optical near-correction program can simulate wafer system Make program comprising photolithographic exposure technique at image effect, photoresist layer in photolithographic exposure technique to the reaction of light radiation and Development resolution ratio in developing process, and from photoresist layer transfer pattern to the etching of the material layer of following semiconductor substrate Technique.
During using the optical near-correction program of compound photoetching computation model, main feature is adjusted as previously mentioned (be added supplemental characteristic, be sized, relocate and/or refigure), then modified mask data is adjacent by optics Nearly calibration model is modeled, to generate a simulation wafer profile.Wafer profile is simulated further compared with objective wafer pattern, To assess whether modified mask data is subjected to.This assessment is to be carried out by suitable procedure, such as edge is placed in mistake Or area differentiation.In a specific embodiment, multiple target points are assigned to objective wafer pattern.When simulation wafer profile distance Target point tolerable apart from it is interior when, modified mask data be it is acceptable.This modified mask data becomes required Mask pattern (also known as mask pattern after optical near-correction).It is right when required mask pattern is formed on mask The wafer pattern answered is substantially similar to final objective wafer pattern or with the difference less than a tolerance interval.
Fig. 5 to Fig. 8 is further with 500 schematic optical near-correction program of the main feature of example.It is worth noting that, figure Main feature shown in 5 is only used as simplified example feature.In a specific embodiment, main feature 500 is a rectangle, is determined Justice will be formed in the contact hole on semiconductor substrate.In other embodiments, main feature 500 include a polygon (or ladder Shape) or other suitable shapes.
In subsequent simulation, the profile of simulation is compared with an objective wafer pattern to confirm its difference.In some implementations In example, the original mask layout of main feature 500 is used as objective wafer pattern.However, objective wafer pattern can be different Ground selection, such as it is illustrated in the objective wafer pattern 600 of Fig. 6, preferably to carry out iterating simulation convergence (iterative Simulation convergence) without deteriorating wafer pattern.When carrying out iterating simulation, the profile and wafer mesh of simulation Mark compares to confirm its difference, as shown in Figure 7.Fig. 8 is to generate main feature by operation 206 according to the embodiment of the present invention The schematic diagram of 500 required mask pattern 800.
Method 200 is returned to, optical near-correction program is implemented by using compound photoetching computation model in operation 206, Generate mask pattern.According to multiple embodiments, the advantages of the method includes reducing error.This error is practical wafer pattern and pre- Difference between phase wafer pattern.In disclosed method, using the mask images correction mask model of measurement, rather than make With simulation mask images, this correction can be more acurrate and effective.
Fig. 2 is referred back to, method 200 can further include that operation 208 prepares mask data, such as segmentation mask pattern and generation Corresponding electron beam direct projection map (electron-beam shot map).In some embodiments, operation 208 includes mask number According to preparation to generate electron beam direct projection map.Mask data preparation includes that mask pattern is divided into polygon or other suitable shapes Shape, and in some embodiments further include for each polygon definition dosage (dose).In some embodiments, from operation The 206 final mask datas generated have been defined within electron beam direct projection map, and operation 208 can be skipped.
Referring again to Fig. 2, method 200 also includes operation 110 to manufacture mask.In this embodiment, an electron beam or one Multi-electron beam mechanism is based on electron beam direct projection map and is used to form pattern on mask.Mask can be in multiple appropriate technologies In be designed.In one embodiment, mask is designed to have a binary pattern.In the case, mask pattern includes not Clear area and clear area.Radiation beam (such as: ultraviolet light or ultraviolet light beam) it is used to the image sensitive that exposure is coated on wafer Material layer (such as photoresist), and clear area is crossed by opaque region blocking and break-through.In one embodiment, binary mask packet Include a transparent substrate (such as: vitreous silica) and on foot in the opaque material (such as: chromium) of the opaque region of mask.Another In one embodiment, mask is designed with phase shift.In phase shift mask (PSM), it is formed on the more of the pattern on mask A feature is configured to have suitable phase difference to promote resolution ratio and image quality.In various embodiments, phase shift is covered Mould mask (attenuated PSM) can be moved for a decay phase in existing or an alternating phase moves mask (alternating PSM).In some embodiments, mask is extreme ultraviolet (EUV) mask with reflection graphic patterns.It is real one It applies in example, extreme ultraviolet mask includes the substrate with suitable material, such as low thermal expansion material (LTEM).In multiple embodiments In, low thermal expansion material include vitreous silica, titania-doped (TiO2) silica (SiO2) or other with low-heat The suitable material of expansion.Extreme ultraviolet mask includes reflection multiple layer (ML) being deposited on substrate.Multiple layer includes multiple Film pair, such as molybdenum-silicon (Mo/Si) film is to (such as: the molybdenum layer of silicon layer or lower section in each film pair).Or Person, multiple layer may include molybdenum-beryllium (Mo/Be) film pair or other can be configured to the suitable material of high reflection extreme ultraviolet. Extreme ultraviolet mask can further include the coating (such as ruthenium (Ru)) being arranged on multiple layer for protection.Extreme ultraviolet mask It further include the absorbed layer (such as boron nitride tantalum (TaBN) layer) being arranged on multiple layer.It is integrated that absorbed layer is patterned to definition One layer of circuit (IC).Alternatively, another reflecting layer can be deposited on multiple layer, and it is patterned to define integrated circuit One layer, to form an extreme ultraviolet phase shift mask.
During fabrication mask program, a photoresist layer of electron beam sensitive is coated on mask, in an electron beam Photoresist layer is exposed using electron beam according to electron beam direct projection map in lithography system.Photoresist layer is further developed to shape At patterned photoresist layer.By the opening of patterned photoresist layer, further apply an etch process to material layer, from And it shifts and is defined on the pattern of patterned photoresist layer to material layer.Then by wet type removal (wet stripping), etc. Plasma ashing (plasma ashing) or both removes photoresist layer.
In some embodiments, method 200 may include 212 manufacture wafer of operation.Fig. 9 is according to an embodiment of the present invention half The schematic diagram of semiconductor wafer 900.The mask or one group of mask that semiconductor crystal wafer 900 is formed by using the above method are made It makes.Semiconductor crystal wafer 900 includes semiconductor substrate 910, such as a substrate or extraly or alternately has some other half One substrate of conductor material (such as germanium, SiGe, silicon carbide GaAs).Semiconductor crystal wafer 900 can further include multiple doped regions, Jie The inside of electrical feature and multiple stratum connects (or being formed in subsequent manufacturing step).Semiconductor crystal wafer 900 can further include The material layer 920 that will be patterned.In some embodiments, material layer 920 includes a dielectric layer or a conductive layer.Some In embodiment, material layer 920 may include a top of semiconductor material layer either semiconductor substrate.Semiconductor crystal wafer 900 Including a silicon substrate or other suitable substrates, and there is a material layer to be formed thereon.
Wafer fabrication schedule includes a lithographic patterning technique.In some embodiments, lithographic patterning technique includes light Photoresist coating, photolithographic exposure technique, postexposure bake (PEB) and development.Lithographic patterning technique is on semiconductor crystal wafer 900 A patterned photoresist layer 930 is formed, as shown in Figure 10.Specifically, in a lithography system using by operating 210 shapes At mask implement this photolithographic exposure technique.Wafer fabrication schedule further includes other steps with will be in patterned photoresist layer Pattern defined in 930 is transferred to following material layer 920.In one embodiment, wafer fabrication schedule includes an ion cloth Technique is planted, to use patterned photoresist layer to form multiple doped regions in semiconductor crystal wafer as an implantation mask.Another In one embodiment, wafer fabrication schedule includes an etch process, to use patterned photoresist layer 930 as an etching mask Following material layer 920 is etched, as shown in figure 11.After etch process (or ion implanting processes), patterned photoresist layer 930 can be removed by wet type removal or plasma ashing, as shown in figure 12.
Without prejudice to the design of the disclosure, it is possible to implement other embodiments and modification.Present disclose provides A method of a mask pattern is generated according to integrated circuit layout.Specifically, the method includes an optical near-correction journey Sequence is to generate final mask pattern (or final mask data), and wherein optical near-correction program uses the wafer count by measurement According to corrected one compound photoetching computation model.Compound photoetching computation model is combined to be corrected using the mask images of measurement One mask model in various embodiments, by implementing disclosed method (such as method 100 or method 200), can be presented Some advantages described below.It should be appreciated, however, that different embodiments disclosed herein provide different advantages, and in whole realities It applies and does not need specific advantages in example.For as an example, according to multiple embodiments, executed by using compound photoetching computation model Disclosed optical near-correction program, generated mask pattern include reduced error.The method, which will provide, accurately to be covered Mould model, and independent of mask stack measurement error.Mask model will be independent of other in compound photoetching computation model Effect, such as masked diffusion/dissolution.In the conventional method, the error of mask model passes through final optical proximity correction model quilt It absorbs.By having more accurate mask model in the disclosure, the chance of the overfitting of compound photoetching computation model is less.
Figure 13 is the schematic diagram according to the optical near-correction system 1300 of the embodiment of the present invention.Optical near-correction system 1300 have used compound photoetching computation model.Compound photoetching computation model by using measurement data wafer data and mask mould Type is corrected.Mask model is corrected by using the mask images of measurement or the mask data of measurement.Optical near-correction system System 1300 includes that the integration of both hardware and software is offline for the one of electron number direct write to generate to execute multiple movements (tape-out).In one embodiment, optical near-correction system 1300 is designed more in the method 100 of Fig. 1 to execute A operation and further some operations in the method 200 of Fig. 2.
Optical near-correction system 1300 receives the IC design layout 1302 from an IC designer (such as integrated circuit layout 300 in Fig. 3) is as an input.Optical near-correction system 1300 sends IC design cloth Office 1302 is to optical near-correction module 1304.Optical near-correction module 1304 is used for using compound photoetching computation model one Optical near-correction program.Compound photoetching computation model comes from compound photoetching computation model module 1306.Compound photoetching calculates mould Type combines the mask model from mask model module 1308.Compound photoetching computation model module 1306 is designed compound to establish Photoetching computation model;It collects wafer data 1310 (the wafer profile or critical size of measurement);And it is corrected using wafer data Compound photoetching computation model.In other embodiments, compound photoetching computation model module 1306 further includes three submodules: compound Photoetching calculates builder A;Corrector B;And collector C, it is designed respectively to execute above three function.Mask model module 1308 are designed to establish mask model;It collects mask data 1312 (mask images of measurement);And use mask data school Positive mask model.In other embodiments, mask model module 1308 further includes three submodules: mask model builder D;School Positive device E;And collector F, it is designed respectively to execute above three function.Optical near-correction module 1304 can be operated with right IC design layout executes an optical near-correction program, and can operate to execute other function, such as form a crystalline substance Circle target, as described in operation 204.Optical near-correction module 1304, which generates, passes through optical near-correction program is modified one most Whole mask pattern 1314.Final mask pattern 1314 is further transmitted to a fabrication mask module 1316 to be had finally to be formed Mask pattern is defined on mask above.
In some embodiments, fabrication mask module 1316 includes an electron-beam direct writing device, and can further include that other are covered Mould manufacturing apparatus, such as an etching machine.According to final mask pattern or electron beam direct projection the figure of fabrication mask module 1316 At a patterned layer on mask.Then it is formed by mask and is further sent to wafer manufacture module 1318.Wafer manufacture Module 1318 uses one or more semiconductor crystal wafers of mask patterning.It includes a photolithographic exposure board that wafer, which manufactures module 1318, A such as extreme ultraviolet stepper.In some embodiments, wafer manufacture module 1318 can further include an etching machine, to Photoetching agent pattern is shifted on semiconductor crystal wafer to following material layer.
Although multiple embodiments of the disclosure are provided above-mentioned.It, can be with without prejudice to the design of the disclosure There are other embodiments.For example, ion beam can be replaced by for the radiation energy of lithographic patterning.In this case, more A correction dose (corrected doses) is ion beam exposure dosage.In another embodiment, optical near-correction system 1300 can be a separation entity (separate entity) or be distributed in existing entity, for example, one design/experimental facilities or One on-line system.In this embodiment, optical near-correction system 1300 is connected in a network, such as world-wide web or one Portion's network.
The disclosure provides a kind of method of optical near-correction program using compound photoetching computation model.This compound photoetching Computation model is corrected by the wafer data and mask model measured, and mask model is by using the mask data quilt of measurement Correction.In various embodiments, by implementing disclosed method (such as method 100 or method 200), following institute can be presented The some advantages stated.It should be appreciated, however, that different embodiments disclosed herein provide different advantages, and in whole embodiments Do not need specific advantages.For as an example, according to multiple embodiments, by using disclosed in the execution of compound photoetching computation model Optical near-correction program, generated mask pattern includes reduced error.The method will provide accurate mask model, And independent of mask stack measurement error.Mask model will be independent of other effects in compound photoetching computation model, example Such as masked diffusion/dissolution.In the conventional method, the error of mask model is absorbed by final optical proximity correction model.It is logical Cross has more accurate mask model in the disclosure, and the chance of the overfitting of compound photoetching computation model is less.
Therefore, the disclosure provides a kind of manufacturing method for integrated curcuit according to some embodiments.The method includes establishing one to cover Mould model and a compound photoetching computation model, mask model to simulate a mask images, compound photoetching computation model to Simulate a wafer pattern;Use the mask images correction mask model of a measurement;After the wafer data of a measurement and correction Mask model correct compound photoetching computation model;And using the compound photoetching computation model after correction to an integrated circuit diagram Case executes an optical near-correction (OPC) program, to generate the mask pattern for being used for a mask process.
In some embodiments, before correction mask model, a mask images are measured;And correcting compound photoetching meter Before calculating model, a wafer pattern is measured.
In some embodiments, wherein the step of executing optical near-correction program includes: modification integrated circuit patterns;Make A wafer profile of integrated circuit patterns is generated with compound photoetching computation model Analogous Integrated Electronic Circuits pattern;And confirmation wafer A difference between profile and objective wafer pattern.
In some embodiments, this manufacturing method for integrated curcuit further includes when difference is more than a tolerable range, and repetition is repaired Change integrated circuit patterns and Analogous Integrated Electronic Circuits pattern.
In some embodiments, in this manufacturing method for integrated curcuit, mask model is defined by one first formula, to Mask images are generated according to integrated circuit patterns;And compound photoetching computation model is defined by one second formula, is covered with basis Mould model and a photoresist model generate wafer profile.
The disclosure provides a kind of manufacturing method for integrated curcuit according to some embodiments.The method includes covering using a measurement One mask model of mould image rectification;It is calculated using the compound photoetching of mask model correction one after the wafer data of a measurement and correction Model;And one optical near-correction program is executed to an integrated circuit patterns using the compound photoetching computation model after correction, To generate the mask pattern for being used for a mask process.
In some embodiments, this manufacturing method for integrated curcuit further includes manufacturing a mask based on mask pattern.
In some embodiments, this manufacturing method for integrated curcuit further includes executing a photoetching work to a wafer using mask Skill.
In some embodiments, this manufacturing method for integrated curcuit further includes establishing mask model and compound photoetching calculating mould Type, mask model is to simulate a mask images, and compound photoetching computation model is to simulate a wafer profile;In correction mask mould Before type, a mask images are measured;And before the compound photoetching computation model of correction, measure a wafer pattern.
In some embodiments, this manufacturing method for integrated curcuit further include to mask pattern execute a division process, thus An electron beam direct projection map is generated, electron beam direct projection map is used to the pattern mask in an e-beam lithography.
In some embodiments, this manufacturing method for integrated curcuit further includes executing one to mask using electron beam direct projection map Fabrication mask program, fabrication mask program include e-beam lithography.
The disclosure provides a kind of integrated circuit production system according to some embodiments.This integrated circuit production system includes one Mask data module is designed to collect mask imaging data;One wafer data module is designed with from a fabrication mask program Middle collection wafer fabrication data;One first correction module is designed to correct a mask model based on mask imaging data;One Two correction modules are designed to correct a compound photoetching computation model based on wafer fabrication data;An and optical near-correction Module, is designed to use mask model and compound photoetching computation model executes an optical near-correction program.
In some embodiments, this integrated circuit production system further includes a model foundation device, is designed to establish mask Model and compound photoetching computation model.
Aforementioned interior text outlines the feature of many embodiments, allows technician in the art in all its bearings more The disclosure is understood goodly.Technician in the art, it is to be appreciated that and can be designed based on the disclosure easily or It modifies other techniques and structure, and identical purpose is reached with this and/or reaches identical excellent with the embodiment introduced herein etc. Point.Technician in the art it will also be appreciated that these equal structures without departing from the disclosure inventive concept and range. Under the premise of without departing substantially from the inventive concept and range of the disclosure, various changes, displacement or modification can be carried out to the disclosure.

Claims (10)

1. a kind of manufacturing method for integrated curcuit, comprising:
It establishes a mask model and a compound photoetching computation model, aforementioned mask model is above-mentioned to simulate a mask images Compound photoetching computation model is to simulate a wafer pattern;
Aforementioned mask model is corrected using the mask images of a measurement;
Above-mentioned compound photoetching computation model is corrected using the aforementioned mask model after the wafer data of a measurement and correction;And
One optical near-correction (OPC) journey is executed to an integrated circuit patterns using the above-mentioned compound photoetching computation model after correction Sequence, to generate the mask pattern for being used for a mask process.
2. manufacturing method for integrated curcuit as described in claim 1 further includes manufacturing a mask based on aforementioned mask pattern.
3. manufacturing method for integrated curcuit as claimed in claim 2 further includes executing a photoetching to a wafer using aforementioned mask Technique.
4. manufacturing method for integrated curcuit as claimed in claim 3, in which:
The step of manufacturing aforementioned mask includes being coated with one first photoresist layer to aforementioned mask, according to aforementioned mask pattern to above-mentioned First photoresist layer executes an electron-beam direct writing (electron-beam writing) technique, and upper by being patterned State the first photoresist layer etching aforementioned mask;And
The step of executing above-mentioned photoetching process to above-mentioned wafer includes being coated with one second photoresist layer to above-mentioned wafer, and use Aforementioned mask exposes above-mentioned second photoresist layer to form patterned second photoresist layer on above-mentioned wafer.
5. manufacturing method for integrated curcuit as described in claim 1, further includes:
An IC design layout is received, said integrated circuit design layout includes said integrated circuit pattern;And
Before executing above-mentioned optical near-correction program to said integrated circuit pattern, formed based on said integrated circuit pattern One objective wafer pattern.
6. a kind of manufacturing method for integrated curcuit, comprising:
A mask model is corrected using the mask images of a measurement;
A compound photoetching computation model is corrected using the aforementioned mask model after the wafer data of a measurement and correction;And
One optical near-correction program is executed to an integrated circuit patterns using the above-mentioned compound photoetching computation model after correction, from And generate the mask pattern for being used for a mask process.
7. manufacturing method for integrated curcuit as claimed in claim 6, further includes:
An IC design layout is received, said integrated circuit design layout includes said integrated circuit pattern;And
Before executing above-mentioned optical near-correction program to said integrated circuit pattern, formed based on said integrated circuit pattern One objective wafer pattern.
8. manufacturing method for integrated curcuit as claimed in claim 7, wherein the step of executing above-mentioned optical near-correction program packet It includes:
Modify said integrated circuit pattern;
It is brilliant with generate said integrated circuit pattern one using above-mentioned compound photoetching computation model simulation said integrated circuit pattern Circle contour;
Confirm the difference between above-mentioned wafer profile and above-mentioned objective wafer pattern;And
When above-mentioned difference is more than a tolerable range, above three step is repeated.
9. manufacturing method for integrated curcuit as claimed in claim 8, in which:
Aforementioned mask model is defined in a formula I (x, mp), and wherein mp is one group of mask model parameters, and I (x, mp) It is a mask images function, to simulate the figure of aforementioned mask pattern on a semiconductor wafer in a photolithographic exposure technique Picture;And
Above-mentioned compound photoetching computation model is in a formula W (x)=Φ21(I (x, mp))) it is defined, in which:
W (x) is defined as a simulation wafer profile;
Φ1It is defined as a photoetching agent pattern function, to simulate the characteristic for the photoresist being coated on above-mentioned semiconductor crystal wafer; And
Φ2Be defined as a wafer pattern function, to simulation application an etch process of above-mentioned semiconductor crystal wafer characteristic.
10. a kind of integrated circuit production system, comprising:
One mask data module, is designed to collect mask imaging data;
One wafer data module is designed to collect wafer fabrication data from a fabrication mask program;
One first correction module is designed to correct a mask model based on aforementioned mask imaging data;
One second correction module is designed to correct a compound photoetching computation model based on above-mentioned wafer fabrication data;And
One optical near-correction module, is designed to use aforementioned mask model and above-mentioned compound photoetching computation model executes a light Learn near-correction program.
CN201810939965.5A 2017-09-28 2018-08-17 Integrated circuit manufacturing method and system Active CN109582995B (en)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201762564812P 2017-09-28 2017-09-28
US62/564,812 2017-09-28
US15/813,774 2017-11-15
US15/813,774 US10495967B2 (en) 2017-09-28 2017-11-15 Method of mask simulation model for OPC and mask making

Publications (2)

Publication Number Publication Date
CN109582995A true CN109582995A (en) 2019-04-05
CN109582995B CN109582995B (en) 2024-02-02

Family

ID=65807471

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201810939965.5A Active CN109582995B (en) 2017-09-28 2018-08-17 Integrated circuit manufacturing method and system

Country Status (3)

Country Link
US (2) US10495967B2 (en)
CN (1) CN109582995B (en)
TW (1) TWI679487B (en)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI806002B (en) * 2020-02-12 2023-06-21 荷蘭商Asml荷蘭公司 Non-transitory computer-readable medium for determining mask pattern and training machine learning model
CN117710270A (en) * 2024-02-04 2024-03-15 全智芯(上海)技术有限公司 Method for free-scale optical proximity correction, electronic device and storage medium

Families Citing this family (16)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10495967B2 (en) * 2017-09-28 2019-12-03 Taiwan Semiconductor Manufacturing Co., Ltd. Method of mask simulation model for OPC and mask making
US10572697B2 (en) 2018-04-06 2020-02-25 Lam Research Corporation Method of etch model calibration using optical scatterometry
KR20200130870A (en) 2018-04-10 2020-11-20 램 리써치 코포레이션 Optical instrumentation in machine learning to characterize features
CN112005347A (en) * 2018-04-10 2020-11-27 朗姆研究公司 Resist and etch modeling
US10725454B2 (en) * 2018-11-12 2020-07-28 International Business Machines Corporation Mask process aware calibration using mask pattern fidelity inspections
CN113924525A (en) 2019-04-15 2022-01-11 Asml荷兰有限公司 Method for determining correction to features of a mask
CN112445059A (en) * 2019-09-05 2021-03-05 中芯国际集成电路制造(上海)有限公司 Optical proximity correction, photomask manufacturing and graphical method
US11481922B2 (en) * 2020-04-07 2022-10-25 Kla Corporation Online navigational drift correction for metrology measurements
CN111443569B (en) * 2020-05-18 2023-11-17 中国科学院微电子研究所 Correction model building method and device, mask optimizing method and device
EP3926403A1 (en) * 2020-06-17 2021-12-22 Mycronic Ab Maskless second layer alignment method and apparatus
WO2022060977A1 (en) * 2020-09-18 2022-03-24 Applied Materials, Inc. Methods to improve process window and resolution for digital lithography with auxiliary features
KR20220040308A (en) 2020-09-23 2022-03-30 삼성전자주식회사 System and method for modeling semiconductor process
CN112541205B (en) * 2020-12-01 2022-12-30 泉芯集成电路制造(济南)有限公司 Modeling method
TWI762216B (en) * 2021-02-25 2022-04-21 力晶積成電子製造股份有限公司 Method for testing semiconductor pattern
DE102021130149A1 (en) * 2021-11-18 2023-05-25 Carl Zeiss Smt Gmbh Process for measuring photomasks for semiconductor lithography
CN115373212B (en) * 2022-09-15 2024-05-10 华芯程(杭州)科技有限公司 OPC modeling method, OPC modeling device, OPC modeling equipment and computer readable storage medium

Citations (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20070061773A1 (en) * 2005-09-09 2007-03-15 Brion Technologies, Inc. Method for selecting and optimizing exposure tool using an individual mask error model
CN101191996A (en) * 2006-11-29 2008-06-04 联华电子股份有限公司 Process for preparing light mask and optical adjacence correction repairing method
JP2008134382A (en) * 2006-11-28 2008-06-12 Internatl Business Mach Corp <Ibm> Method and program for designing mask layout for integrated circuit and optimization method of mask layout of integrated circuit
US20110230999A1 (en) * 2008-11-21 2011-09-22 Luoqi Chen Fast Freeform Source and Mask Co-Optimization Method
US20120036487A1 (en) * 2010-08-03 2012-02-09 International Business Machines Corporation Fracturing continuous photolithography masks
US20130283217A1 (en) * 2012-04-18 2013-10-24 D2S, Inc. Method and system for forming patterns using charged particle beam lithography
US20150278429A1 (en) * 2014-04-01 2015-10-01 Taiwan Semiconductor Manufacturing Company, Ltd. System and Method for Integrated Circuit Manufacturing
CN106469235A (en) * 2015-08-21 2017-03-01 台湾积体电路制造股份有限公司 Integrated circuit method and IC design system

Family Cites Families (18)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7297453B2 (en) * 2005-04-13 2007-11-20 Kla-Tencor Technologies Corporation Systems and methods for mitigating variances on a patterned wafer using a prediction model
JP4538021B2 (en) * 2007-05-31 2010-09-08 株式会社東芝 Optical proximity correction method
US7703069B1 (en) * 2007-08-14 2010-04-20 Brion Technologies, Inc. Three-dimensional mask model for photolithography simulation
CN102057329B (en) * 2008-06-03 2013-08-21 Asml荷兰有限公司 Methods for model-based process simulation
US9367655B2 (en) 2012-04-10 2016-06-14 Taiwan Semiconductor Manufacturing Company, Ltd. Topography-aware lithography pattern check
US8631360B2 (en) 2012-04-17 2014-01-14 Taiwan Semiconductor Manufacturing Company, Ltd. Methodology of optical proximity correction optimization
US8762900B2 (en) 2012-06-27 2014-06-24 Taiwan Semiconductor Manufacturing Company, Ltd. Method for proximity correction
US8850366B2 (en) 2012-08-01 2014-09-30 Taiwan Semiconductor Manufacturing Company, Ltd. Method for making a mask by forming a phase bar in an integrated circuit design layout
US8954899B2 (en) 2012-10-04 2015-02-10 Taiwan Semiconductor Manufacturing Company, Ltd. Contour alignment system
US8906595B2 (en) 2012-11-01 2014-12-09 Taiwan Semiconductor Manufacturing Company, Ltd. Method for improving resist pattern peeling
US9093530B2 (en) 2012-12-28 2015-07-28 Taiwan Semiconductor Manufacturing Company, Ltd. Fin structure of FinFET
US8812999B2 (en) 2013-01-02 2014-08-19 Taiwan Semiconductor Manufacturing Co., Ltd. Method and system of mask data preparation for curvilinear mask patterns for a device
US8796666B1 (en) 2013-04-26 2014-08-05 Taiwan Semiconductor Manufacturing Company, Ltd. MOS devices with strain buffer layer and methods of forming the same
US9548303B2 (en) 2014-03-13 2017-01-17 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET devices with unique fin shape and the fabrication thereof
KR101939313B1 (en) 2014-06-25 2019-01-16 에이에스엠엘 네델란즈 비.브이. Etch variation tolerant optimization
US9672320B2 (en) 2015-06-30 2017-06-06 Taiwan Semiconductor Manufacturing Company, Ltd. Method for integrated circuit manufacturing
US9886543B2 (en) 2016-02-10 2018-02-06 Taiwan Semiconductor Manufacturing Company, Ltd. Method providing for asymmetric pupil configuration for an extreme ultraviolet lithography process
US10495967B2 (en) * 2017-09-28 2019-12-03 Taiwan Semiconductor Manufacturing Co., Ltd. Method of mask simulation model for OPC and mask making

Patent Citations (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20070061773A1 (en) * 2005-09-09 2007-03-15 Brion Technologies, Inc. Method for selecting and optimizing exposure tool using an individual mask error model
JP2008134382A (en) * 2006-11-28 2008-06-12 Internatl Business Mach Corp <Ibm> Method and program for designing mask layout for integrated circuit and optimization method of mask layout of integrated circuit
CN101191996A (en) * 2006-11-29 2008-06-04 联华电子股份有限公司 Process for preparing light mask and optical adjacence correction repairing method
US20110230999A1 (en) * 2008-11-21 2011-09-22 Luoqi Chen Fast Freeform Source and Mask Co-Optimization Method
US20120036487A1 (en) * 2010-08-03 2012-02-09 International Business Machines Corporation Fracturing continuous photolithography masks
US20130283217A1 (en) * 2012-04-18 2013-10-24 D2S, Inc. Method and system for forming patterns using charged particle beam lithography
US20150278429A1 (en) * 2014-04-01 2015-10-01 Taiwan Semiconductor Manufacturing Company, Ltd. System and Method for Integrated Circuit Manufacturing
CN106469235A (en) * 2015-08-21 2017-03-01 台湾积体电路制造股份有限公司 Integrated circuit method and IC design system

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI806002B (en) * 2020-02-12 2023-06-21 荷蘭商Asml荷蘭公司 Non-transitory computer-readable medium for determining mask pattern and training machine learning model
CN117710270A (en) * 2024-02-04 2024-03-15 全智芯(上海)技术有限公司 Method for free-scale optical proximity correction, electronic device and storage medium
CN117710270B (en) * 2024-02-04 2024-05-03 全智芯(上海)技术有限公司 Method for free-scale optical proximity correction, electronic device and storage medium

Also Published As

Publication number Publication date
US20190094680A1 (en) 2019-03-28
TWI679487B (en) 2019-12-11
US10962875B2 (en) 2021-03-30
US10495967B2 (en) 2019-12-03
CN109582995B (en) 2024-02-02
TW201915598A (en) 2019-04-16
US20200103747A1 (en) 2020-04-02

Similar Documents

Publication Publication Date Title
CN109582995A (en) Manufacturing method for integrated curcuit and its manufacture system
US9869939B2 (en) Lithography process
US7266480B2 (en) Rapid scattering simulation of objects in imaging using edge domain decomposition
CN107885043B (en) Method for improving lithography printability
US7571420B2 (en) Dynamic sampling with efficient model for overlay
US9747408B2 (en) Generating final mask pattern by performing inverse beam technology process
CN105372945B (en) The photoetching process and system of covering quality with enhancing
CN109788646A (en) Method for production of integrated circuits
US10276375B2 (en) Assistant pattern for measuring critical dimension of main pattern in semiconductor manufacturing
CN109559979A (en) Manufacturing method for integrated curcuit
US20120082937A1 (en) Stitching methods using multiple microlithographic expose tools
CN108227393A (en) Target optimization method
CN109782529A (en) Mask manufacture method
CN103246174B (en) Substrate-topography-aware lithography modeling
US7197722B2 (en) Optimization of sample plan for overlay
TWI495961B (en) A lithography model for 3d topographic wafers
TW201137515A (en) Microlithography masks including image reversal assist features, microlithography systems including such masks, and methods of forming such masks
CN108700802A (en) Etch supplemental characteristic
CN113767337B (en) Method and apparatus for lithographic imaging

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant