CN109582622A - 基于三维Mesh片上网络的双链路互连架构 - Google Patents

基于三维Mesh片上网络的双链路互连架构 Download PDF

Info

Publication number
CN109582622A
CN109582622A CN201710902005.7A CN201710902005A CN109582622A CN 109582622 A CN109582622 A CN 109582622A CN 201710902005 A CN201710902005 A CN 201710902005A CN 109582622 A CN109582622 A CN 109582622A
Authority
CN
China
Prior art keywords
link
router
chip
network
channel
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
CN201710902005.7A
Other languages
English (en)
Inventor
魏莹
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Individual
Original Assignee
Individual
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Individual filed Critical Individual
Priority to CN201710902005.7A priority Critical patent/CN109582622A/zh
Publication of CN109582622A publication Critical patent/CN109582622A/zh
Pending legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H04ELECTRIC COMMUNICATION TECHNIQUE
    • H04LTRANSMISSION OF DIGITAL INFORMATION, e.g. TELEGRAPHIC COMMUNICATION
    • H04L45/00Routing or path finding of packets in data switching networks
    • H04L45/58Association of routers
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F13/00Interconnection of, or transfer of information or other signals between, memories, input/output devices or central processing units
    • G06F13/38Information transfer, e.g. on bus
    • G06F13/40Bus structure
    • G06F13/4004Coupling between buses
    • G06F13/4022Coupling between buses using switching circuits, e.g. switching matrix, connection or expansion network
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F15/00Digital computers in general; Data processing equipment in general
    • G06F15/16Combinations of two or more digital computers each having at least an arithmetic unit, a program unit and a register, e.g. for a simultaneous processing of several programs
    • G06F15/163Interprocessor communication
    • G06F15/173Interprocessor communication using an interconnection network, e.g. matrix, shuffle, pyramid, star, snowflake
    • G06F15/17306Intercommunication techniques
    • G06F15/17312Routing techniques specific to parallel machines, e.g. wormhole, store and forward, shortest path problem congestion
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F15/00Digital computers in general; Data processing equipment in general
    • G06F15/16Combinations of two or more digital computers each having at least an arithmetic unit, a program unit and a register, e.g. for a simultaneous processing of several programs
    • G06F15/163Interprocessor communication
    • G06F15/173Interprocessor communication using an interconnection network, e.g. matrix, shuffle, pyramid, star, snowflake
    • G06F15/17356Indirect interconnection networks
    • G06F15/17368Indirect interconnection networks non hierarchical topologies
    • G06F15/17387Three dimensional, e.g. hypercubes
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F15/00Digital computers in general; Data processing equipment in general
    • G06F15/76Architectures of general purpose stored program computers
    • G06F15/78Architectures of general purpose stored program computers comprising a single central processing unit
    • G06F15/7807System on chip, i.e. computer system on a single chip; System in package, i.e. computer system on one or more chips in a single package
    • G06F15/7825Globally asynchronous, locally synchronous, e.g. network on chip
    • HELECTRICITY
    • H04ELECTRIC COMMUNICATION TECHNIQUE
    • H04LTRANSMISSION OF DIGITAL INFORMATION, e.g. TELEGRAPHIC COMMUNICATION
    • H04L45/00Routing or path finding of packets in data switching networks
    • H04L45/60Router architectures
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y02TECHNOLOGIES OR APPLICATIONS FOR MITIGATION OR ADAPTATION AGAINST CLIMATE CHANGE
    • Y02DCLIMATE CHANGE MITIGATION TECHNOLOGIES IN INFORMATION AND COMMUNICATION TECHNOLOGIES [ICT], I.E. INFORMATION AND COMMUNICATION TECHNOLOGIES AIMING AT THE REDUCTION OF THEIR OWN ENERGY USE
    • Y02D10/00Energy efficient computing, e.g. low power processors, power management or thermal management

Landscapes

  • Engineering & Computer Science (AREA)
  • Theoretical Computer Science (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • General Engineering & Computer Science (AREA)
  • General Physics & Mathematics (AREA)
  • Mathematical Physics (AREA)
  • Computer Networks & Wireless Communication (AREA)
  • Signal Processing (AREA)
  • Software Systems (AREA)
  • Computing Systems (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Data Exchanges In Wide-Area Networks (AREA)

Abstract

片上网络通过将计算与通信进行分离的设计,有效的将宏观计算机及并行计算网络相关技术移植到芯片设计中,具有扩展性好、通信效率高、传输能耗低、可靠性高等优点,从体系结构上彻底解决了传统总线架构带来的问题。为满足未来多核片上网络发展的需求,发明了一种基于三维Mesh片上网络的双链路互连架构。在垂直方向上,该架构采用双链路互连,使其通信带宽加倍;而且,采用垂直链路连接不同的层,降低了消息传输的路由跳数。该发明通过增加少量的控制逻辑电路就能使网络平均延时得到降低并提高网络的最大吞吐率。仿真结果验证了理论分析。与传统的单链路架构相比,该架构以较小的面积开销换取了较大的性能提高。

Description

基于三维Mesh片上网络的双链路互连架构
所属技术领域
本发明涉及片上网络架构设计,尤其涉及未来多处理器核、高通信密度的芯片架构的设计。
背景技术
随着电子产品应用种类的增多,不论是高性能计算领域,还是核心网设备、基站以及个人移动通信终端都对处理器的性能提出了更高的要求。以往通过提高芯片主频来提升处理器性能的方法已面临物理瓶颈,而多核技术逐步成为高性能芯片发展的必然趋势。因此为大规模片上系统的众多处理器核提供高效的互连通信体系变得越来越重要。现有的基于总线的片上互连结构已经在带宽、可靠性、能量损耗、全局互连、扩展性等方面表现出越来越多的局限性。然而,目前片上通信往往采用基于总线形式的片上系统结构,总线结构日益呈现的带宽限制、通信效率低、可扩展性差等问题逐渐成为限制片上通信性能的技术,为了克服总线结构的局限性,满足片上通信的需要,一种全新的片上互连技术——片上网络应运而生。片上网络的思想来源于现有的并行计算机网络,采用路由和包交换的方式代替传统的片上总线来完成通信任务。
目前对片上网络研究二维的较多,但随着未来通信终端和设备的计算复杂度越来越高,IC规模将越来越大,但由于二维片上网络布局条件的限制,在某些情况下的关键路径较长,相应的能耗、信号时延及串扰较大,约束了片上网络的拓扑优化与性能改善。因此,随着IP核数的增加,二维片上网络的通信性能并不能如预期一样成比例的提升。同时,三维集成电路的出现为片上系统性能的进一步提高提供了强有力的技术支持,其内部含有多个平面器件层的叠层,并由穿透硅通孔在垂直方向实现相互连接。将片上网络技术与三维集成电路技术相结合,就产生了三维片上网络架构。该架构提供了在第三维度上的扩展性,而且层与层之间的连线与层内部的连线相比要短得多,因此能够达到更快的时钟速率,提高网络吞吐量,降低延时。
发明内容
本发明的目的是为满足片上网络低时延、高吞吐量的需求,设计了一种基于三维Mesh片上网络的双链路互连架构。
本发明解决其技术问题所采用的技术方案是:
基于三维Mesh片上网络的双链路互连架构在垂直方向上采用双链路连接,每个路由器不仅与相邻层的路由器相连,还与跨层的路由器相连。在X1或X2方向上,其互连方式与传统的单链路互连方式相同。然而在X3方向上,每个路由器不仅跟相邻层路由器相连,还跟跨层路由器相连,即每个路由器与上2层和下2层路由器均相连。该发明架构采用基于双链路的XYZ维序路由算法。为了实现该路由算法,本发明对传统的维序路由算法在X3方向上的输出路由仲裁进行了一些改进:设当前路由器是Router N,若目的路由器是Router (N+1)或是Router (N-1),那么微片将会通过相应输出端口的第一条链路传输;否则,通过相应输出端口的第二条链路传输。本发明所设计的架构主要由链路控制器、虚信道缓冲器、交叉开关和路由与仲裁单元组成。
所述的链路控制器用于控制通道两端的消息流。输出端口的链路控制器按照一定的策略(一般采用轮询策略)进行仲裁,并根据目的路由器的位置进行链路选择控制,选择2个链路中的1个输出;同样,输入端口的链路控制器会根据输入虚通道的空闲情况,控制输入端口的2个链路微片在输入虚通道进行缓存。
所述的虚信道缓冲器即先进先出缓冲器,用于存储传输中的消息,每个输入物理通道和输出物理通道都有若干个缓冲器,在具体实现时也可以只设立输入通道缓冲器或只设立输出通道缓冲器。虚通道的作用是为每个物理链路提供了多个缓冲区,当某个通道被阻塞时,其他通道仍然可以通过这个物理链路传输,从而提高链路利用率,改善网络的性能。
所述的交叉开关负责将路由器的输入缓冲器连接到相应的输出端口,可以是全连接的交叉开关,也可以是非全连接的开关。
所述的路由与仲裁单元用于实现路由算法,为输入消息选择输出端口并相应地置位开关。
本发明的有益效果是:
基于三维Mesh片上网络的双链路互连架构使网络通信带宽加倍,同时降低了将近一半的路由跳数,从而缓解拥塞,提高吞吐量,降低延时,提高网络性能。
附图说明
下面结合附图和实施例对本发明进一步说明。
图1是三维Mesh片上网络结构图
图2是双链路和单链路互连架构纵切面示意图
图3是单链路片上网络的路由器互连架构图
图4是双链路片上网络的路由器互连结构图
具体实施方式
图1所示的是三维Mesh片上网络拓扑结构图,这种拓扑结构具有低信号时延及串扰、低能耗及高集成度等特点。三维片上网络拓扑将片上网络与三维集成电路技术相结合,将IP核分配在芯片的不同物理层上,并用三维结构实现IP核之间的通信,用来构建低能耗、短时延的片上网络系统。由于层间互连的通孔具有极短的垂直通信距离,该拓扑将提升整个系统的通信能力。与二维片上网络相比,三维片上网络具有以下优势:(1)增加了封装密度,缩小了芯片面积和能耗,缩短了芯片上市的时间;(2)降低了通信时延,提升了系统性能;(3)缩减了链路长度,降低了信号串扰;(4)支持不同技术工艺的CMOS芯片互连;(5)便于维护,假设某层芯片发生故障,可对其进行单独修复。
图2所示的基于三维片上网络的双链路与单链路互连架构图。在双链路互连结构中,在X1或X2方向上,其互连方式与图2b中传统的单链路互连方式相同。然而在X3方向上,每个路由器不仅跟相邻层路由器相连,还跟跨层路由器相连,即每个路由器与上2层和下2层路由器均相连。这种连接方式与传统的单链路互连方式不同。
图3所示的是单链路片上网络的路由器互连架构,每个输入输出端口仅有1个链路,因此,在每个时钟周期中,每个输出端口只能输送1个微片,而每个输入端口也只能接收来自其他路由器的1个微片。链路传输的仲裁和流控主要通过链路控制器完成。
图4是双链路片上网络的路由器互连结构图,在X1或X2方向上,双链路片上网络的路由器互连方式与传统的单链路互连方式相同。然而,在路由器X3方向的每个端口采用双链路方式相连。X3方向上Router N 的第1个输出链路与Router(N+1)相连,Router N的第2个输出链路与Router(N+2)相连。因此在X3方向上,每个输出端口每个时钟周期可以输送2个微片,而每个输入端口也可以接收来自其他路由器的2个微片。
该发明架构采用基于双链路的XYZ维序路由算法。为了实现该路由算法,本发明对传统的维序路由算法在X3方向上的输出路由仲裁进行了一些改进:设当前路由器是Router N,若目的路由器是Router (N+1)或是Router (N-1),那么微片将会通过相应输出端口的第一条链路传输;双链路和单链路架构的路由器大部分模块均相同,主要不同点在于控制链路传输的仲裁和流控机制,这主要通过改进其链路控制器实现。微片经过路由和仲裁,从交叉开关传输到输出端口,输出端口的链路控制器按照一定的策略(一般采用轮询策略)进行仲裁,并根据目的路由器的位置进行链路选择控制,选择2个链路中的1个输出;同样,输入端口的链 路控制器会根据输入虚通道的空闲情况,控制输入端口的2个链路微片在输入虚通道进行缓存。因此,通过链路控制器的仲裁和控制,实现输出端口和输入端口同时发送和接收2个链路的信息。
该发明架构采用Altera公司的FPGA器件EPIS80F1508C5上进行电路面积综合仿真。仿真平台的片上网络的IP核数目为64,共有4层,每层IP核数目为4×4;时间分布为:每个IP核独立产生消息包,并服从可配置注入率的泊松过程;目的节点服从空间均匀随机分布;采 用 定长打包机制,每个消息含有8个微片,每个微片和链路的宽度都是32b;每个路由器端口含有4个虚通道;采用虫孔交换和最短路径路由。本发明架构的平均延时最多降低了27.6%,最大吞吐量增加了38.1%,而面积开销仅增加了9.96%。

Claims (5)

1.基于三维Mesh片上网络的双链路互连架构,其特征在于在垂直方向上采用双链路连接,每个路由器不仅与相邻层的路由器相连,还与跨层的路由器相连,在X1或X2方向上,其互连方式与传统的单链路互连方式相同,然而在X3方向上,每个路由器不仅跟相邻层路由器相连,还跟跨层路由器相连,即每个路由器与上2层和下2层路由器均相连,该发明架构采用基于双链路的XYZ维序路由算法,为了实现该路由算法,本发明对传统的维序路由算法在X3方向上的输出路由仲裁进行了一些改进:设当前路由器是Router N,若目的路由器是Router (N+1)或是 Router (N-1),那么微片将会通过相应输出端口的第一条链路传输;否则,通过相应输出端口的第二条链路传输,本发明所设计的架构主要由链路控制器、虚信道缓冲器、交叉开关和路由与仲裁单元组成。
2.如权利要求1所述,基于三维Mesh片上网络的双链路互连架构,其特征在于链路控制器用于控制通道两端的消息流,输出端口的链路控制器按照一定的策略(一般采用轮询策略)进行仲裁,并根据目的路由器的位置进行链路选择控制,选择2个链路中的1个输出;同样,输入端口的链路控制器会根据输入虚通道的空闲情况,控制输入端口的2个链路微片在输入虚通道进行缓存。
3.如权利要求1所述,基于三维Mesh片上网络的双链路互连架构,其特征在于虚信道缓冲器即先进先出缓冲器,用于存储传输中的消息,每个输入物理通道和输出物理通道都有若干个缓冲器,在具体实现时也可以只设立输入通道缓冲器或只设立输出通道缓冲器,虚通道的作用是为每个物理链路提供了多个缓冲区,当某个通道被阻塞时,其他通道仍然可以通过这个物理链路传输,从而提高链路利用率,改善网络的性能。
4.如权利要求1所述,基于三维Mesh片上网络的双链路互连架构,其特征在于交叉开关负责将路由器的输入缓冲器连接到相应的输出端口,可以是全连接的交叉开关,也可以是非全连接的开关。
5.如权利要求1所述,基于三维Mesh片上网络的双链路互连架构,其特征在于路由与仲裁单元用于实现路由算法,为输入消息选择输出端口并相应地置位开关。
CN201710902005.7A 2017-09-29 2017-09-29 基于三维Mesh片上网络的双链路互连架构 Pending CN109582622A (zh)

Priority Applications (1)

Application Number Priority Date Filing Date Title
CN201710902005.7A CN109582622A (zh) 2017-09-29 2017-09-29 基于三维Mesh片上网络的双链路互连架构

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
CN201710902005.7A CN109582622A (zh) 2017-09-29 2017-09-29 基于三维Mesh片上网络的双链路互连架构

Publications (1)

Publication Number Publication Date
CN109582622A true CN109582622A (zh) 2019-04-05

Family

ID=65913971

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201710902005.7A Pending CN109582622A (zh) 2017-09-29 2017-09-29 基于三维Mesh片上网络的双链路互连架构

Country Status (1)

Country Link
CN (1) CN109582622A (zh)

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN113824296A (zh) * 2020-06-18 2021-12-21 新疆金风科技股份有限公司 变流器的控制系统、方法、变流器及风力发电机组
CN114679422A (zh) * 2022-03-25 2022-06-28 中国电子科技集团公司第五十八研究所 一种基于双网络的无死锁多裸芯集成微系统高性能架构
CN116170366A (zh) * 2022-12-13 2023-05-26 成都海光集成电路设计有限公司 片上网络、计算机主板及计算机设备
CN117134765A (zh) * 2023-08-24 2023-11-28 毫厘智能科技(江苏)有限公司 一种可重构芯粒间连接结构的电路及芯片

Cited By (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN113824296A (zh) * 2020-06-18 2021-12-21 新疆金风科技股份有限公司 变流器的控制系统、方法、变流器及风力发电机组
CN114679422A (zh) * 2022-03-25 2022-06-28 中国电子科技集团公司第五十八研究所 一种基于双网络的无死锁多裸芯集成微系统高性能架构
CN114679422B (zh) * 2022-03-25 2024-04-26 中国电子科技集团公司第五十八研究所 一种基于双网络的无死锁多裸芯集成微系统高性能架构
CN116170366A (zh) * 2022-12-13 2023-05-26 成都海光集成电路设计有限公司 片上网络、计算机主板及计算机设备
CN117134765A (zh) * 2023-08-24 2023-11-28 毫厘智能科技(江苏)有限公司 一种可重构芯粒间连接结构的电路及芯片
CN117134765B (zh) * 2023-08-24 2024-06-07 毫厘智能科技(江苏)有限公司 一种可重构芯粒间连接结构的电路及芯片

Similar Documents

Publication Publication Date Title
CN109376118B (zh) 具有片上集成网络的可编程逻辑器件
US10027433B2 (en) Multiple clock domains in NoC
US9158882B2 (en) Automatic pipelining of NoC channels to meet timing and/or performance
CN109582622A (zh) 基于三维Mesh片上网络的双链路互连架构
US20190266088A1 (en) Backbone network-on-chip (noc) for field-programmable gate array (fpga)
KR20140139032A (ko) 패킷플로우 상호연결 패브릭
US11023377B2 (en) Application mapping on hardened network-on-chip (NoC) of field-programmable gate array (FPGA)
CN102685017A (zh) 一种基于fpga的片上网络路由器
CN101834789B (zh) 面向包-电路交换片上路由器的回退转向路由算法及所用路由器
CN101917333A (zh) 基于区域的光电双层片上网络系统及路由方法
Rhee et al. Many-to-many core-switch mapping in 2-D mesh NoC architectures
CN109302357A (zh) 一种面向深度学习可重构处理器的片上互联结构
CN105451103A (zh) 基于波长分配的三维光片上网络路由器通信系统及方法
CN104683242A (zh) 一种二维片上网络的拓扑结构以及路由方法
CN102866980A (zh) 用于多核微处理器片上互连网络的网络通信胞元
Rahmani et al. Lastz: An ultra optimized 3d networks-on-chip architecture
CN114185840B (zh) 一种三维多裸片互连网络结构
Marcon et al. Tiny NoC: A 3D mesh topology with router channel optimization for area and latency minimization
Ahmed et al. Increasing interposer utilization: A scalable, energy efficient and high bandwidth multicore-multichip integration solution
CN103546397A (zh) 支持乱序的自路由Omega网络结构
Ghidini et al. Lasio 3D NoC vertical links serialization: Evaluation of latency and buffer occupancy
CN107276920A (zh) 一种应用于混合三维片上网络的分布式流控系统及机制
CN105871761A (zh) 一种高阶矩阵开关、片上网络及通信方法
US7965705B2 (en) Fast and fair arbitration on a data link
Joshi et al. Designing energy-efficient low-diameter on-chip networks with equalized interconnects

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
WD01 Invention patent application deemed withdrawn after publication
WD01 Invention patent application deemed withdrawn after publication

Application publication date: 20190405