CN109427604A - 缺陷检验方法、半导体装置的制造方法及半导体工艺方法 - Google Patents

缺陷检验方法、半导体装置的制造方法及半导体工艺方法 Download PDF

Info

Publication number
CN109427604A
CN109427604A CN201810768975.7A CN201810768975A CN109427604A CN 109427604 A CN109427604 A CN 109427604A CN 201810768975 A CN201810768975 A CN 201810768975A CN 109427604 A CN109427604 A CN 109427604A
Authority
CN
China
Prior art keywords
illusory
packing material
structure sheaf
patterned
patterned member
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CN201810768975.7A
Other languages
English (en)
Other versions
CN109427604B (zh
Inventor
游大庆
高振颜
张书豪
徐源甫
陈奕豪
黄得智
王士哲
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Original Assignee
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Taiwan Semiconductor Manufacturing Co TSMC Ltd filed Critical Taiwan Semiconductor Manufacturing Co TSMC Ltd
Publication of CN109427604A publication Critical patent/CN109427604A/zh
Application granted granted Critical
Publication of CN109427604B publication Critical patent/CN109427604B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70605Workpiece metrology
    • G03F7/70616Monitoring the printed patterns
    • G03F7/7065Defects, e.g. optical inspection of patterned layer for defects
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/10Measuring as part of the manufacturing process
    • H01L22/12Measuring as part of the manufacturing process for structural parameters, e.g. thickness, line width, refractive index, temperature, warp, bond strength, defects, optical inspection, electrical measurement of structural dimensions, metallurgic measurement of diffusions
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N21/00Investigating or analysing materials by the use of optical means, i.e. using sub-millimetre waves, infrared, visible or ultraviolet light
    • G01N21/84Systems specially adapted for particular applications
    • G01N21/88Investigating the presence of flaws or contamination
    • G01N21/89Investigating the presence of flaws or contamination in moving material, e.g. running paper or textiles
    • G01N21/8901Optical details; Scanning details
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N21/00Investigating or analysing materials by the use of optical means, i.e. using sub-millimetre waves, infrared, visible or ultraviolet light
    • G01N21/84Systems specially adapted for particular applications
    • G01N21/88Investigating the presence of flaws or contamination
    • G01N21/95Investigating the presence of flaws or contamination characterised by the material or shape of the object to be examined
    • G01N21/956Inspecting patterns on the surface of objects
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06TIMAGE DATA PROCESSING OR GENERATION, IN GENERAL
    • G06T7/00Image analysis
    • G06T7/0002Inspection of images, e.g. flaw detection
    • G06T7/0004Industrial image inspection
    • G06T7/0006Industrial image inspection using a design-rule based approach
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • H01L21/0274Photolithographic processes
    • H01L21/0275Photolithographic processes using lasers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/20Sequence of activities consisting of a plurality of measurements, corrections, marking or sorting steps
    • H01L22/24Optical enhancement of defects or not directly visible states, e.g. selective electrolytic deposition, bubbles in liquids, light emission, colour change
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/30Structural arrangements specially adapted for testing or measuring during manufacture or treatment, or specially adapted for reliability measurements
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N21/00Investigating or analysing materials by the use of optical means, i.e. using sub-millimetre waves, infrared, visible or ultraviolet light
    • G01N21/84Systems specially adapted for particular applications
    • G01N21/88Investigating the presence of flaws or contamination
    • G01N21/8806Specially adapted optical and illumination features
    • G01N2021/8822Dark field detection
    • G01N2021/8825Separate detection of dark field and bright field
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06TIMAGE DATA PROCESSING OR GENERATION, IN GENERAL
    • G06T2207/00Indexing scheme for image analysis or image enhancement
    • G06T2207/10Image acquisition modality
    • G06T2207/10056Microscopic image
    • G06T2207/10061Microscopic image from scanning electron microscope

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Immunology (AREA)
  • Biochemistry (AREA)
  • Pathology (AREA)
  • General Health & Medical Sciences (AREA)
  • Analytical Chemistry (AREA)
  • Health & Medical Sciences (AREA)
  • Life Sciences & Earth Sciences (AREA)
  • Chemical & Material Sciences (AREA)
  • Computer Vision & Pattern Recognition (AREA)
  • Quality & Reliability (AREA)
  • Theoretical Computer Science (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Optics & Photonics (AREA)
  • Textile Engineering (AREA)
  • Testing Or Measuring Of Semiconductors Or The Like (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Investigating Materials By The Use Of Optical Means Adapted For Particular Applications (AREA)

Abstract

本公开的实施例涉及缺陷检验的方法。在图案部件形成于结构层中之后,填充具有与结构层不同的光学性质的虚设填充材于图案部件中。图案部件中的材料与结构层之间不同的光学性质增加了通过检验工具所捕捉的影像中的对比度,因而增加了缺陷捕捉率。

Description

缺陷检验方法、半导体装置的制造方法及半导体工艺方法
技术领域
本公开涉及一种半导体集成电路制造方法,且特别有关于一种半导体集成电路的缺陷检验方法。
背景技术
以用于图案化、沉积、移除、以及改质(modification)的各种不同工艺来制造半导体集成电路。在工艺中的各个不同阶段执行品质检验。举例来说,通常会实施蚀刻后检验(after-etch-inspection,AEI)来监测在图案化工艺中的图案缺陷。
然而,当半导体集成电路的尺寸缩小,使用传统的缺陷检验方法来捕捉缺陷变得更具挑战性。因此,需要一个改善的缺陷检验方法。
发明内容
本公开包含一种在光刻工艺后的缺陷检验方法,包含:蚀刻图案化光刻胶层之下的结构层以将复数图案部件从此图案化光刻胶层转换至此结构层,其中此结构层包含第一材料;以虚设填充材料填充此结构层中的图案部件,其中第一材料与虚设填充材料具有不同的光学性质,并且可从这些图案部件移除此虚设填充材料;曝露包含第一材料的结构层以及包含虚设填充材料的图案部件的上表面;以及使用检验工具检验此上表面是否有缺陷的图案部件。
本公开亦包含一种半导体装置的制造方法,包含:形成第一结构层于基板之上;形成第二结构层于此第一结构层之上,其中此第二结构层包含第一材料;涂敷光刻胶层于此第二结构层之上;使用光刻工艺图案化此光刻胶层;使用此图案化光刻胶层作为遮罩以蚀刻穿过此第二结构层与此第一结构层的一部分以形成复数图案部件;以虚设填充材料填充此些图案部件,其中虚设填充材料与第一材料具有不同的光学性质;移除过多的虚设填充材料以曝露出第一材料与虚设填充材料的上表面;以及检验此上表面是否有缺陷的图案部件。
本公开亦包含一种半导体工艺方法,包含:形成复数图案部件于氧化硅层中;以虚设填充材料填充图案部件,此虚设填充材料具有不同于氧化硅的光学性质;检验填充后的图案部件是否有缺陷;从图案部件移除虚设填充材料;以及以生产材料填充图案部件。
附图说明
通过以下的详述配合附图,可以最佳理解本公开实施例的观点。应注意的是,依据在业界的标准惯例,各种部件并未按照比例绘制。事实上,为了讨论的明确易懂,各种部件的尺寸可任意增加或减少。
图1是根据本公开的一实施例示出方法的示意流程图。
图2A-2K是根据本公开的一些实施例示出半导体装置在不同的制造阶段的部分示意图。
图3A-3D是根据本公开的一些实施例示出结构层与虚设填充材料的光学性质图。
附图标记说明:
100~方法
105、110、115、120、125、130、135、140、145~步骤
200~半导体结构
202~基板
204~第一结构层
206~第二结构层
208~光刻胶层
210、212、216、216a、216b、220、222~图案部件
214~虚设填充材料
218~目标图案部件
224~铜
226、228~临界尺寸
230~深度
232~底表面
234~上表面
302、304、306、312、314、316、322、324、326、328~曲线
308、318~光谱
具体实施方式
以下提供许多不同的实施例或示范,用于实行本公开的不同部件。以下描述了组件和配置的具体范例,以简化本公开的实施例。当然,这些实施例仅用以例示,并非意图限制本公开的范围。举例而言,在叙述中第一部件形成于第二部件之上,可能包含形成第一和第二部件直接接触的实施例,也可能包含额外的部件形成于第一和第二部件之间,使得第一和第二部件不会直接接触的实施例。另外,本公开的实施例可能在许多范例中重复元件符号及/或字母。这些重复的目的是为了简化和清楚,除非内文中特别说明,其本身并非代表各种实施例及/或所讨论的配置之间有特定的关系。
此外,在以下叙述中可使用空间上相对用语,例如「在……之下」、「在……下方」、「较低的」、「在……上方」、「较高的」和其他类似的用语,以便于说明一个(些)元件或部件与另一个(些)元件或部件之间的关系的陈述。此空间相对用语除了包含附图所示出的方位,还包含装置在使用或操作中的不同方位。装置亦可转向至其他方位(旋转90度或在其他方位),且在此使用的空间相对描述亦依转向后的方位相应地解读。
图1是根据本公开的各种实施例的流程图,其示出制造半导体装置的例式性的方法100。图2A-2K是根据图1的流程图示出半导体结构200在不同的制造阶段的部分示意图。所属本领域中技术人员应能理解,形成半导体装置的完整工艺与相关的结构并未示出于附图中或在此讨论。虽然各种步骤示出于附图中并在此讨论,但并未隐含限定了关于这些步骤的顺序、或者存在或缺少插入步骤。按序示出或讨论的步骤仅是为了解释目的,而不排除各个步骤实际上至少部分地(如果非全部)以并行或重叠的方式执行的可能性,除非有明确地指出步骤的顺序。
半导体结构200可为形成于半导体基板之上的任何适合的结构,例如:具有扩散区(例如:主动区)形成于之间的浅沟槽隔离结构(shallow trench isolation,STI)、具有导电与非导电区域的互连结构、形成于浅沟槽隔离结构周围的鳍结构(例如:鳍式场效晶体管(Fin Field Effect Transistor,FinFET)结构)、栅极结构、接触件结构、前段(front-end)结构、后段(back-end)结构、或任何其他适合使用于半导体应用的结构。
如图2A所示出,方法100以步骤105开始,在基板202之上形成图案于光刻胶层208中。基板202的例式性的材料包含选自于结晶硅、氧化硅、应变硅、硅锗(silicongermanium)、掺杂或无掺杂多晶硅(polysilicon)、掺杂或无掺杂硅晶圆与绝缘体上覆图案化或无图案化硅晶圆、掺碳氧化硅、氮化硅、掺杂硅、锗、砷化镓、玻璃、以及石英所组成的群组中至少一者的材料。可理解的是,基板202并不限定于任何特定的尺寸或形状。因此,基板202可为具有直径200mm、300mm、或其他尺寸的直径(例如450mm等)的圆形基板。基板202也可为任何的多边形、正方形、长方形、弧形或其他非圆形的工作件。
半导体基板202可包含形成于基板202之上的第一结构层204。值得注意的是,额外的结构、材料层、或装置结构可在形成于第一结构层204之前先形成于基板202之上,以促进包含半导体结构200的半导体装置的运行与适当功能。举例来说,前段结构,例如:栅极结构、接触件结构、或其他适合的结构可在形成第一结构层204之前先形成于基板202之上。
第一结构层204可为设置于栅极结构周围的掺杂氧化层或互连结构中的层间介电层。在一些实施例中,第一结构层204为低介电常数(low-k)介电层,例如:热氧化硅(thermal silicon oxide)、掺磷硅酸盐玻璃(phosphorous-doped silicate glass,PSG)、含氧氟硅玻璃(silicon oxyfluoride,FSG)、氢硅盐酸类(hydrogen silsesquioxane,HSQ)、纳米孔洞二氧化硅(nanoporous silica)、聚亚芳香醚(polyarylene ether,PAE)、氟化非晶质碳(fluorinated amorphous carbon,α-CF)、或其他适合作为层间介电质使用的材料。在一实施例中,第一结构层204是掺磷硅酸盐玻璃(PSG)层,其通过以四乙氧基硅烷(tetraethyl orthosilicate,TEOS)作为前驱物的化学气相沉积(chemical vapordeposition,CVD)工艺所形成。
第二结构层206形成于第一结构层204之上。第二结构层206可为将被图案化的任何结构层,例如使用光刻胶层来图案化。第二结构层206可为层间介电层、盖氧化层(capoxide layer)、硬遮罩层、多晶硅层、以及其他类似的材料。第二结构层206可使用任何适合的技术来形成,例如:化学气相沉积工艺(CVD)、等离子体增强化学气相沉积(plasma-enhanced CVD,PECVD)工艺、或磊晶沉积工艺。
在一些实施例中,第二结构层206可为氧化硅层,例如:无掺杂的硅酸盐玻璃(un-doped silicate glass,USG)盖氧化层。无掺杂的硅玻璃盖氧化层可通过以四乙氧基硅烷(TEOS)作为前驱物的等离子体增强化学气相(PECVD)沉积工艺所形成。在其他实施例中,第二结构层206可为氮化硅层、金属氮化层、聚硅氧(silicone)层、或金属氧化层。
在步骤105,涂敷光刻胶层208于第二结构层206之上,以将图案转换至第二结构层206。如图2A所示出,使用光刻工艺在光刻胶层208中形成图案部件210,从而将几何图案从光遮罩转换至基板上的光刻胶层。可实施此光刻工艺于基板202的整体或一部分之上。
步骤105所使用的光刻工艺可为任何适合的光刻工艺,例如:紫外光刻术(ultraviolet(UV)photolithography)、浸渍光刻术(immersion photolithography)、以及极紫外光(EUV)光刻术,其取决于部件的最小尺寸,也称为将形成的图案的临界尺寸(critical dimension,CD)。当图案部件210为一个孔洞时,其临界尺寸可为此图案部件的直径,当图案部件210为一条线时,其临界尺寸可为此图案部件的线宽,或者重复的图案部件210的半节距(half pitch)的两倍。在图2A中,图案部件210为具有显影后的临界尺寸226的孔洞。应注意的是,图案部件210可为任何适合的部件以实现半导体结构200的预期的功能。
在一实施例中,图案部件210的临界尺寸226可小于约30纳米。举例来说,图案部件210的临界尺寸226在约30纳米至约16纳米的范围。图案部件210可通过极紫外光刻术来形成。极紫外光刻术可使用具有波长约为13.5纳米或小于13.5纳米的光源来实施。
在半导体制造期间,可实施显影后检验(after-develop inspection,ADI)来监控图案化工艺以及减少在光刻工艺中的图案化缺陷。然而,因为光刻胶层中的图案部件具有低对比度,并且透明的光刻胶层可能造成前层(prior level)缺陷与光刻胶层中的缺陷混淆,所以显影后检验为具挑战性的检验。当临界尺寸缩小时,例如在使用极紫外光刻术所形成的图案中,显影后检验变得更加具有挑战性。本公开的实施例是提供一种检验方法,以监控在转换光刻胶层208中的图案部件210至光刻胶层208下的第二结构层206之后的图案化工艺。
在步骤110中,实施适合的蚀刻工艺以转换光刻胶层208中的图案部件210至第二结构层206。如图2B所示出,图案部件212形成于第二结构层206中。图案部件212可具有蚀刻后临界尺寸228。虽然临界尺寸228与临界尺寸226之间存在着称为临界尺寸偏差(criticaldimension bias)的差异,但通常会采取一些步骤来控制临界尺寸偏差,并且临界尺寸228的测量可用来监控图案化工艺中的图案化缺陷。
蚀刻工艺可为干蚀刻工艺。在一实施例中,可使用反应式离子蚀刻(reactive ionetching,RIE)来蚀刻包含以等离子体增强化学气相沉积所形成的氧化硅的第二结构层206。特别是,可在反应式离子腔室中使用包含四氟化碳(carbon tetrafluoride,CF4)与三氟甲烷(Carbon trifluoride,CHF3)的混和工艺气体,以图案化光刻胶层208作为遮罩来移除第二结构层206。
图案部件212也可具有深度230。在一些实施例中,图案部件212的深度230只是在生产工艺中所预期的深度的一部分。举例来说,在生产工艺期间,在步骤105中的光刻工艺后所实施的蚀刻工艺,可在蚀刻穿过第二结构层206与第一结构层204两者之后,使用基板202作为蚀刻停止与终止。当图案部件212的深度230对于检验工具而言足以测量到蚀刻后的临界尺寸228时,可停止步骤110的蚀刻工艺。举例来说,深度230可大于临界尺寸228的约1.5倍。在一些实施例中,深度230可为临界尺寸226的约1.5倍至约3.0倍。当图案部件具有临界尺寸为约30纳米,则深度230可为大于45纳米,例如约45纳米至约90纳米。
可通过使用估计或测量蚀刻速率来控制操作时间以实现深度230。举例来说,当估计的蚀刻速率为约2纳米/秒(nm/s)时,实施干蚀刻工艺在22至45秒的一段期间,此干蚀刻工艺使用包含四氟化碳(CF4)与三氟甲烷(CHF3)的混和工艺气体至通过等离子体增强化学气相沉积所形成的氧化硅。
如图2B所示出,图案部件212在第一结构层204中具有底表面232。或者,图案部件212的底表面232可在第二结构层206中。或者,图案部件212的底表面232可为基板202。
在蚀刻后检验(after etch inspection,AEI)的过程中使用检验工具,可检验如图2B所示出的半导体结构200在此时是否有缺陷。检验工具通常会发射辐射至半导体结构200并检测从半导体结构200反射及/或散射的辐射来产生光学影象。所检测到的辐射取决于光学性质,例如:表面结构的折射率。因为图案部件212为第二结构层206中的中空空间,空气的光学性质反映了图案部件212,而第二结构层206的光学性质反映为背景。然而,当第二结构层206的光学性质相似于空气的光学性质,其难以将图案部件212从背景(即第二结构层206)区别出来。
在步骤115中,如图2C所示出,以虚设填充材料214填充图案部件212。可选择虚设填充材料214,使得虚设填充材料214与第二结构层206具有不同的光学性质,以增加检验结果的对比度。在一实施例中,当虚设填充材料214与第二结构层206中的材料的光学性质差异大于检验工具所使用的光谱中的临界值(threshold value)时,两者的光学性质将被视为不同。举例来说,临界值可约为第二结构层206中的材料的光学性质数值约10%至约50%的范围内。在一些实施例中,临界值可约为第二结构层206中的材料的光学性质数值的约30%。举例来说,可选择虚设填充材料214,其相较于第二结构层206具有不同的复折射率(complex refractive index)、折射率(refractive index)、吸光系数(extinctioncoefficient)、电容率(permittivity)、反射系数(reflection coefficient)、或前述的组合。在一些实施例中,选择虚设填充材料214,其在检验工具所使用的波长或光谱中,具有不同于第二结构层206的折射率。不同的折射率能够增加检验影像的对比度,因而改善缺陷捕捉率(defect capture rate)。
虚设填充材料214的一或多个光学性质(包含但不限定于不同的复折射率、折射率、吸光系数、电容率、反射系数)为第二结构层中的材料的相同光学性质的0%至约90%的范围,或是在约110%至约1000%的范围。举例来说,虚设填充材料214的一或多个光学性质(包含不同的复折射率、折射率、吸光系数、电容率、反射系数,但不限定于此)为第二结构层206中的材料的相同光学性质的0%至约70%的范围,或在约130%至约250%的范围。在一实施例中,虚设填充材料214的电容率为空气电容率的约130%至250%的范围。
在当第二结构层206包含氧化硅的情况中,虚设填充材料214可为底部抗反射涂层(bottom anti-reflective coating,BARC)材料、以硅为主的材料(例如:非晶硅和氮化硅)、金属氧化物(例如:氧化铝和氧化铪)、金属氮化物(例如:氮化钛和氮化钽)、金属(例如:铜和钨)、以及前述的组合、或具有不同的光学性质的其他适合的材料。虚设填充材料214可通过涂布工艺(coating process)、原子层沉积(atomic layer deposition,ALD)工艺、化学气相沉积工艺、物理气相沉积工艺、或其他适合金属形态的工艺。
例示性的底部抗反射涂层材料包含氧化硅(silicon oxide)、碳氧化硅(siliconoxycarbide)、氮氧化硅(silicon oxynitride)、含烃氧化硅(hydrocarbon-containingsilicon oxide)、氮化硅(silicon nitride)、氮化钛(titanium nitride)、氮化钽(tantalum nitride)、含钛材料、含钽材料、有机材料、以及任何前述的组合。可通过任何适合的技术,例如:化学气相沉积、等离子体增强化学气相沉积、高密度等离子体化学气相沉积(high-density plasma CVD,HDP-CVD)、或旋转涂布工艺来形成底部抗反射涂层材料于第二结构层206之上。
在一实施例中,虚设填充材料214可为有机聚合的底部抗反射涂层材料,例如在此产业称为底部抗反射涂层的高度交联(cross-linking)有机聚合物。在一些实施例中,虚设填充材料214包含具有羟基(hydroxyl groups)的单体单元的底部抗反射涂层。在一些实施例中,虚设填充材料214包含具有当曝露于适合的pH值的水而经水合作用(hydration)的单体单元的底部抗反射涂层。在一些实施例中,虚设填充材料214包含具有烯烃(alkenes)、炔烃(alkynes)、或芳香族(aromatic groups)的单体单元的底部抗反射涂层。在一些实施例中,虚设填充材料214包含具有酯类(ester)、丙烯酸酯类(acrylate)、或异氰酸酯类(isocyanate)单体单元的底部抗反射涂层。在一些实施例中,虚设填充材料214包含具有聚丙烯酸酯聚合物(acrylate polymer)或共聚物(copolymer)的底部抗反射涂层。在一些实施例中,虚设填充材料214包含具有芳香族单体的底部抗反射涂层。在一些实施例中,虚设填充材料214包含具有苯乙烯聚合物(styrene polymer)或共聚物。在一些实施例中,虚设填充材料214包含具有羟基、或可通过水合反应而得到羟基的底部抗反射涂层,在化学机械研磨工艺中,可通过水合反应而得到羟基的底部抗反射涂层可与氧化研磨颗粒在脱水(dehydration)反应中反应,或通过醚键结(ether linkages)来结合研磨颗粒。
在一些实施例中,当第二结构层206包含使用四乙氧基硅烷(TEOS)前驱物所形成的氧化硅时,选择底部抗反射涂层材料作为虚设填充材料214。可使用旋转涂布工艺涂敷底部抗反射涂层材料于第二结构层206。
在一实施例中,第二结构层206包含使用四乙氧基硅烷(TEOS)前驱物所形成的氧化硅,而虚设填充材料214为非晶硅。非晶硅可通过化学气相沉积工艺来形成,例如:使用硅烷(silane,SiH4)气体作为硅来源的化学气相沉积工艺。
在步骤第120中,移除图2C中在第二结构层206之上过多的虚设填充材料214。如图2D所示出,在移除之后曝露出第二结构层206。在图2D中,曝露上表面234以用于检验。上表面234包含第二结构层206和图案部件216中的虚设填充材料214,虚设填充材料214反映出图案部件212在上表面234的形状。
图2E为半导体结构200的示意的上视图。图2E示出图案部件216中虚设填充材料214的上表面234以及作为背景范围的第二结构层206的上表面。示出于图2E的图案部件216为圆孔。应注意的是,图案部件216可为线、方孔、或其他适合的形状来反映出对应的图案部件212。
在步骤120中,可使用平坦化工艺(例如:化学机械研磨(chemical mechanicalpolishing,CMP)工艺)或蚀刻工艺(例如:灰化工艺)来移除过多的虚设填充材料214。可使用终点检测(end point detection)于步骤120。举例来说,当在步骤120中使用化学机械研磨工艺时,可由所消耗的研磨液体监控终点。当消耗的研磨液体包含了来自第二结构层206与虚设填充材料214的两种材料,并且虚设填充材料214对第二结构层206的材料的比例反映出图案范围与背景范围的比例,则检测到化学机械研磨工艺的终点。同样地,当在步骤120中使用蚀刻工艺,可监控蚀刻腔室的排放气流。当排放气流包含来自蚀刻第二结构层206的副产物与来自蚀刻虚设填充材料214的副产物,并且来自虚设填充材料214的副产物对来自第二结构层206的副产物的比例反映出图案范围与背景范围的比例,则检测到蚀刻工艺的终点。
在一实施例中,虚设填充材料214包含有机聚合的底部抗反射涂层材料,并且可使用蚀刻工艺(例如:使用氧等离子体的蚀刻工艺)移除过多的虚设填充材料214。在一些实施例中,虚设填充材料214包含非晶硅,并且可使用化学机械研磨工艺移除过多的虚设填充材料214。
在步骤125中,可使用检验工具来检验图案部件216是否有缺陷。检验图案部件216可通过如图2D所示出的发射辐射至半导体结构200的上表面234,并检测被半导体结构200反射及/或散射的辐射。
适合的检验工具可以是表面扫描检验系统(scanning surface inspectionsystem,SSIS)(例如:明视野影像系统与暗视野影像系统)、扫描式电子显微镜(scanningelectron microscope,SEM)系统、光学显微镜系统(optical microscope)、扫描试探针显微镜(scanning probe microscope)系统、激光显微镜(laser microscope)系统、穿透式显微镜(transmission microscope)系统、聚焦离子束显微镜(focus ion beam microscope)系统、或其他适合的影像系统。
在步骤125中,置放半导体结构200于检验工具中。检验工具以扫描的方式发射在检验波长或检验光谱中的辐射至半导体结构200。如图2E所示出,检验工具检测被半导体结构200反射及/或散射的辐射,以产生反映出上表面234的光学影像。
因为在图案部件216中的虚设填充材料214与第二结构层206中的材料具有不同的折射率,所以通过检验工具所捕捉到的影像也包含了图案部件216与第二结构层206之间可检测的对比度。对于具有小的临界尺寸的图案部件来说,可检测的对比度是特别地有用。
如上所讨论,可选择具有相较于第二结构层206不同的光学性质(例如:复折射率、折射率、吸光系数、电容率、反射系数、或前述的组合)的虚设填充材料214。当电磁波传递通过一个材料,此材料会衰减此电磁波。使用材料的复折射率n来定义材料如何衰减通过的电磁波。因此,可使用第二结构层206的复折射率作为选择虚设填充材料的依据。复折射率之间的差异越大,则图案范围与背景范围(即检验影像中第二结构层206)之间的对比度越大。
复折射率n包含了实部n与虚部κ:
n=n+iκ
实部n称为折射率,并表示相速度(phase velocity)。虚部κ称为吸光系数或质量衰减系数(mass attenuation coefficient),并表示当电磁波传递通过一个材料所衰减的量。因此,可使用复折射率n的实部及/或虚部作为选择虚设填充材料214的依据。
同样地,当材料的相对电容率εr与折射率n及相对磁导率μr有相关,相对电容率εr或介电常数(K)也可用来选择虚设填充材料214:
n2=εrμr
由于大部分的材料仅为轻微磁性
图3A为由四乙氧基硅烷前驱物所形成的氧化硅、底部抗反射涂层材料、以及空气的折射率图表。曲线302为空气对电磁波波长介于的折射率。曲线304为由四乙氧基硅烷前驱物所形成的氧化硅对电磁波波长介于的折射率。曲线306为底部抗反射涂层材料对电磁波波长介于的折射率。光谱308表示出适合的检验工具的检验光谱。图3A示出特别在光谱308中,底部抗反射涂层材料与空气之间的折射率差异大于氧化硅与空气之间的折射率差异。因为底部抗反射涂层材料与氧化硅在折射率之间的差异,故相较于让图案部件212维持具有空气的中空空间,使用底部抗反射涂层材料作为虚设填充材料214增加了检验对比度。
图3B为由四乙氧基硅烷前驱物所形成的氧化硅、底部抗反射涂层材料、以及空气的衰减系数κ图表。曲线312为空气对电磁波波长介于的衰减系数。曲线314为由四乙氧基硅烷前驱物所形成的氧化硅对电磁波波长介于的衰减系数。曲线316为底部抗反射涂层材料对电磁波波长介于的衰减系数。光谱318表示出适合的检验工具的检验光谱。如图3B所示出,空气与氧化硅对电磁波波长介于的衰减系数大致上相同。特别在此检验光谱中,底部抗反射涂层材料与空气之间的衰减系数差异远大于氧化硅与空气之间的衰减系数差异。因为底部抗反射涂层材料与氧化硅在衰减系数之间的差异,故相较让图案部件212维持具有空气的中空空间,使用底部抗反射涂层材料作为虚设填充材料214大幅增加了检验对比度。
当选择虚设填充材料时,依据结构层的材料、检验工具的操作机制、图案部件的临界尺寸、以及其他相关的因素,可分别或组合考虑折射率与衰减系数。
在一些实施例中,为了捕捉在检验影像中最大的对比度,可依据图案部件212中的虚设填充材料214与第二结构层206之间的折射率差异及/或衰减系数差异来选择检验光谱或检验波长。举例来说,可使用检验波长约为例如波长在检验形成于氧化硅结构层中并以底部抗反射涂层材料填充的图案部件。同样地,可使用检验光谱约为例如光谱的波长在检验形成于氧化硅结构层中并以底部抗反射涂层材料填充的图案部件。
图3C为底部抗反射涂层材料与氧化硅之间的电容率差异,以及空气与氧化硅之间的电容率差异的图表。曲线322为空气与氧化硅对电磁波波长介于的电容率差异。空气的相对电容率在整个光谱中几乎为定值。曲线324为第一底部抗反射涂层材料与氧化硅对电磁波波长介于的电容率差异。曲线326为第二底部抗反射涂层材料与氧化硅对电磁波波长介于的电容率差异。底部抗反射涂层材料与氧化硅之间的电容率差异在整个光谱中起伏(fluctuate)。当图案部件形成于具有与空气相近的电容率的材料(例如:氧化硅)中,可使用底部抗反射涂层材料作为图案部件的虚设填充材料以增加检验影像中的对比度。当选择适合的检验波长时,例如波长接近于第一底部抗反射涂层材料增加约20%的信号强度,并且第二底部抗反射涂层材料增加约75%的信号强度或检测到的电磁波强度。
图3D为非晶硅与氧化硅之间相对电容率的差异,相较于两个底部抗反射涂层材料、空气、与氧化硅之间相对电容率的差异的比较图表。曲线328为非晶硅与氧化硅对电磁波波长介于的电容率差异。如图3D所示出,在光谱从中,非晶硅与氧化硅的电容率差异约大于氧气与氧化硅的电容率差异的20倍。当图案部件形成于具有相似于空气的电容率的材料中,使用非晶硅作为图案部件中的虚设填充材料,可增加约20倍的信号强度或检测到的电磁波强度。
如图2E所示意性地示出,第二结构层206与图案部件212中的虚设填充材料214之间不同的光学性质可增加检验影像中的对比度。增加的对比度可改善检验的缺陷捕捉率,特别是当图案部件216的临界尺寸很小的时候。举例来说,当目标临界尺寸约为30纳米,若测量到的图案部件约大于43纳米,则图案部件会被认为是缺陷的。
在步骤130中,分析检验影像以检测缺陷。第2F至2H图示意性地示出图案部件中典型的缺陷。图2F示出图案部件216a的临界尺寸(即为直径)大于目标图案部件218的临界尺寸。可使用具有影像捕捉装置(例如:CCD相机与影像分析模块)的检验工具来捕捉图案部件216的影像并检测任何的缺陷。检验工具可为测量工具(metrology tool),例如:宽带等离子体缺陷检验工具(broadband plasma defect inspection tool)、激光扫描检验工具(laser scanning inspection tool)、发光二极管扫描工具(light emitting diode(LED)scanning tool)、或其他适合的影像检验工具。
检验工具可包含一种检验应用以判断图案部件216a的临界尺寸与目标图案部件218的临界尺寸之间的差异。在图案部件216a的范例中,临界尺寸为图案部件216a的直径。此检验应用可分析所捕捉到包含图案部件216a的影像,以将图案部件216a从所捕捉的影像中获取出来,并且使用影像处理技术判断图案部件216a的临界尺寸。当检验影像中图案部件216a的临界尺寸大于目标图案部件218的临界尺寸一临界值(threshold level),则可判断图案部件216a为临界尺寸过大型(oversize critical dimension type)的缺陷。此临界值可在约20%至约40%的范围。在一实施例中,此临界值可约为30%。换句话说,当图案部件被检验为具有至少大于目标临界尺寸30%的临界尺寸时,此图案部件会被认为是缺陷的。举例来说,当目标临界尺寸约为30纳米,若测量的图案部件为大于约40纳米就会被认为是缺陷的。
图2G示出图案部件216b的临界尺寸(即为直径)小于目标图案部件218的临界尺寸。可使用检验应用以判断图案部件216b的临界尺寸与目标图案部件218的临界尺寸之间的差异。当检验影像中图案部件216b的临界尺寸小于目标图案部件218的临界尺寸一临界值,则可判断图案部件216a为临界尺寸过小型(undersize critical dimension type)的缺陷。此临界值可在约20%至约40%的范围。在一实施例中,此临界值可约为30%。换句话说,当图案部件被检验为具有至少小于目标临界尺寸30%的临界尺寸时,此图案部件会被认为是缺陷的。
图2H示出两个图案部件216与220,其为互相连接或分离两个图案范围的距离小于临界值,从而形成侵入型(intrusive type)的缺陷。可使用检验应用来判断两相邻的图案部件是否具有侵入型的缺陷。举例来说,当分离两个图案部件的距离约小于图案部件的临界尺寸时,两个图案范围之间会被检测为侵入型缺陷。当检测到相邻的图案部件之间有非预期的连接时,图案部件会被认为具有侵入型的缺陷。
在步骤135中,当检测到缺陷,例如:尺寸过大型缺陷、尺寸过小型缺陷、以及侵入型缺陷,可实施补救工艺。可以步骤105所使用的工艺来实施补救工艺,举例来说:重新校准(recalibrating)光刻工具、重新对准(realignment)光刻遮罩、以及重调(readjusting)曝光与显影配方。
在一些实施例中,可从基板生产线(production substrate stream)周期性现场抽查(spot check)样品基板来实施步骤110、115、120、125、以及130。在一些实施例中,在步骤125与130的后可淘汰所检验地基板。在其他实施例中,当在步骤130中没有检测到缺陷,则可实施步骤140与步骤145以让检验过的样品基板回到基板生产线。
在步骤140中,可从图案部件212移除虚设填充材料214。可通过灰化工艺、湿蚀刻工艺、或选择性干蚀刻工艺移除虚设填充材料214。在一实施例中,当虚设填充材料214为底部抗反射图层材料时,可以使用氧等离子体的灰化工艺来从图案部件212移除虚设填充材料。在其他实施例中,当虚设填充材料214为以硅为主的材料、金属氧化物、氮化硅、金属氮化物、或金属时,可实施湿蚀刻工艺来选择性移除虚设填充材料214。在其他实施例中,当虚设填充材料214为以硅为主的材料、金属氧化物、氮化硅、金属氮化物、或金属时,可实施选择性干蚀刻工艺来移除虚设填充材料214。
图2I示出移除虚设填充材料214之后的半导体结构200。在图2I中,对于生产的基板,图案部件212的深度尚未形成为预计的深度。在一实施例中,可使用第二结构层206作为硬遮罩来实施蚀刻工艺以蚀刻图案部件212而穿过第一结构层204。图2J示出完整的图案部件222。
在步骤145中,退回半导体结构200至生产线,并且以生产材料填充图案部件222。生产材料表示在生产的基板中图案部件222所预期的材料,使得半导体结构200可如所设计的运行。举例来说,当图案部件222为层间介电质中的通孔(via)时,则生产材料可为金属,例如:铜。在其他实施例中,图案部件222可为层间连接的沟槽(trench)或通孔,并且可通过生产步骤以铜224填充。在其他实施例中,图案部件222可为晶体管的栅极接触件,并且可以导电材料(例如铜)填充。
本公开的实施例提供了工艺缺陷检验的改善方法。在图案部件形成于结构层中之后,填充具有与结构层不同的光学性质的虚设填充材于图案部件中。图案部件中的材料与结构层之间不同的光学性质增加了通过检验工具所捕捉的影像中的对比度,因而增加了缺陷捕捉率。根据本公开的检验方法改善了缺陷捕捉率,特别是当结构层包含具有相似于空气的光学性质的材料,以及当图案部件的临界尺寸较小,例如小于30纳米的时候。
一些实施例提供了一种在光刻工艺后的缺陷检验方法。此方法包含:蚀刻图案化光刻胶层之下的结构层以将复数图案部件从此图案化光刻胶层转换至此结构层,其中此结构层包含第一材料;以虚设填充材料填充此结构层中的图案部件,其中第一材料与虚设填充材料具有不同的光学性质,并且可从这些图案部件移除此虚设填充材料;曝露包含第一材料的结构层以及包含虚设填充材料的图案部件的上表面;以及使用检验工具检验此上表面是否有缺陷的图案部件。在一些实施例中,此虚设填充材料包含底部抗反射涂层材料。在一些实施例中,填充图案部件包含旋转涂布虚设填充材料于结构层之上。在一些实施例中,移除过多的虚设填充材料包含使用氧等离子体蚀刻此虚设填充材料。在一些实施例中,第一材料包含氧化硅,以及底部抗反射涂层材料为有机底部抗反射涂层材料。在一些实施例中,第一材料包含氧化硅,以及虚设填充材料包含底部抗反射涂层材料、以硅为主的材料、金属氧化物、金属氮化物、金属、以及前述的组合中的一种。在一些实施例中,填充图案部件包含使用涂布工艺、原子层沉积工艺、化学气相沉积工艺、或物理气相沉积工艺来沉积此虚设填充材料。在一些实施例中,移除过多的填充材料包含使用化学机械研磨工艺或灰化工艺来移除此虚设填充材料。在一些实施例中,图案部件的临界尺寸在约30纳米至约16纳米的范围。在一些实施例中,此方法还包含通过比较第一材料与虚设填充材料之间:复折射率、折射率、衰减系数、相对电容率、反射系数中的一个或多个以选择检验波长或检验光谱。在一些实施例中,图案部件的底部在结构层之中。
一些实施例提供了一种半导体装置的制造方法。此方法包含:形成第一结构层于基板之上;形成第二结构层于此第一结构层之上,其中此第二结构层包含第一材料;涂敷光刻胶层于此第二结构层之上;使用光刻工艺图案化此光刻胶层;使用此图案化光刻胶层作为遮罩以蚀刻穿过此第二结构层与此第一结构层的一部分以形成复数图案部件;以虚设填充材料填充此些图案部件,其中虚设填充材料与第一材料具有不同的光学性质;移除过多的虚设填充材料以曝露出第一材料与虚设填充材料的上表面;以及检验此上表面是否有缺陷的图案部件。在一些实施例中,虚设填充材料包含底部抗反射涂层材料、以硅为主的材料、金属氧化物、金属氮化物、金属、氧化硅、以及前述的组合中的一种。在一些实施例中,填充图案部件包含使用涂布工艺、原子层沉积工艺、化学气相沉积工艺、或物理气相沉积工艺来沉积此虚设填充材料。在一些实施例中,第一材料包含氧化硅,虚设填充材料包含底部抗反射涂层材料,以及填充图案部件包含旋转涂布此虚设填充材料于第二结构层之上。在一些实施例中,第一材料包含氧化硅,虚设填充材料包含非晶硅,以及填充图案部件包含通过化学气相沉积工艺形成非晶硅。在一些实施例中此方法还包含:在检验此上表面之后,从图案部件移除虚设填充材料;以及使用第二结构层作为硬遮罩以蚀刻穿过第一结构层。
一些实施例提供了一种半导体工艺方法。此方法包含:形成复数图案部件于氧化硅层中;以虚设填充材料填充图案部件,此虚设填充材料具有不同于氧化硅的光学性质;检验填充后的图案部件是否有缺陷;从图案部件移除虚设填充材料;以及以生产材料填充图案部件。在一些实施例中,虚设填充材料包含底部抗反射涂层材料、以硅为主的材料、金属氧化物、金属氮化物、金属、氧化硅、以及前述的组合中的一种。在一些实施例中,填充图案部件包含使用涂布工艺、原子层沉积工艺、化学气相沉积工艺、或物理气相沉积工艺来沉积此虚设填充材料。
前述概述了一些实施例的部件,使得本公开所属技术领域中技术人员可以更加理解本公开实施例的观点。本公开所属技术领域中技术人员应可理解,他们可以轻易使用本公开实施例作为基础,设计或修改其他的工艺或是结构,以达到与在此介绍的实施例相同的目的及/或优点。本公开所属技术领域中技术人员也应理解,此类等效的结构并不悖离本公开实施例的构思与范围,并且在不悖离本公开实施例的构思与范围的情况下,在此可以做各种的改变、取代和替换。因此,本公开的保护范围当视权利要求所界定为准。

Claims (10)

1.一种在光刻工艺后的缺陷检验方法,包括:
蚀刻一图案化光刻胶层之下的一结构层以将复数图案部件从该图案化光刻胶层转换至该结构层,其中该结构层包括一第一材料;
以一虚设填充材料填充该结构层中该些图案部件,其中该第一材料与该虚设填充材料具有不同的光学性质,并且可从该些图案部件移除该虚设填充材料;
曝露包含该第一材料的该结构层以及包含该虚设填充材料的该些图案部件的上表面;以及
使用一检验工具检验该上表面是否有缺陷的图案部件。
2.如权利要求1所述的在光刻工艺后的缺陷检验方法,其中该虚设填充材料包括一底部抗反射涂层材料。
3.如权利要求2所述的在光刻工艺后的缺陷检验方法,其中填充该些图案部件包括旋转涂布该虚设填充材料于该结构层之上。
4.如权利要求1所述的在光刻工艺后的缺陷检验方法,其中移除过多的虚设填充材料包括使用氧等离子体蚀刻该虚设填充材料。
5.如权利要求3所述的在光刻工艺后的缺陷检验方法,其中该第一材料包括氧化硅,以及该底部抗反射涂层材料为一有机底部抗反射涂层材料。
6.如权利要求1所述的在光刻工艺后的缺陷检验方法,其中该第一材料包括氧化硅,以及该虚设填充材料包括底部抗反射涂层材料、以硅为主的材料、金属氧化物、金属氮化物、金属、以及前述的组合中的一种。
7.如权利要求1所述的在光刻工艺后的缺陷检验方法,还包括通过比较该第一材料与该虚设填充材料之间:复折射率、折射率、衰减系数、相对电容率、反射系数中的一个或多个以选择一检验波长或检验光谱。
8.一种半导体装置的制造方法,包括:
形成一第一结构层于一基板之上;
形成一第二结构层于该第一结构层之上,其中该第二结构层包括一第一材料;
涂敷一光刻胶层于该第二结构层之上;
使用一光刻工艺图案化该光刻胶层;
使用该图案化光刻胶层作为遮罩以蚀刻穿过该第二结构层与该第一结构层的一部分以形成复数图案部件;
以一虚设填充材料填充该些图案部件,其中该虚设填充材料与该第一材料具有不同的光学性质;
移除过多的虚设填充材料以曝露出该第一材料与该虚设填充材料的上表面;以及
检验该上表面是否有缺陷的图案部件。
9.如权利要求8所述的半导体装置的制造方法,还包括:
在检验该上表面之后,从该些图案部件移除该虚设填充材料;以及
使用该第二结构层作为硬遮罩以蚀刻穿过该第一结构层。
10.一种半导体工艺方法,包括:
形成复数图案部件于一氧化硅层中;
以一虚设填充材料填充该些图案部件,该虚设填充材料具有不同于氧化硅的光学性质;
检验该些填充后的图案部件是否有缺陷;
从该些图案部件移除该虚设填充材料;以及
以一生产材料填充该些图案部件。
CN201810768975.7A 2017-08-25 2018-07-13 缺陷检验方法、半导体装置的制造方法及半导体工艺 Active CN109427604B (zh)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201762550113P 2017-08-25 2017-08-25
US62/550,113 2017-08-25
US15/833,640 US10795270B2 (en) 2017-08-25 2017-12-06 Methods of defect inspection
US15/833,640 2017-12-06

Publications (2)

Publication Number Publication Date
CN109427604A true CN109427604A (zh) 2019-03-05
CN109427604B CN109427604B (zh) 2021-03-02

Family

ID=65435120

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201810768975.7A Active CN109427604B (zh) 2017-08-25 2018-07-13 缺陷检验方法、半导体装置的制造方法及半导体工艺

Country Status (3)

Country Link
US (2) US10795270B2 (zh)
CN (1) CN109427604B (zh)
TW (1) TWI675253B (zh)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2021068219A1 (en) * 2019-10-12 2021-04-15 Yangtze Memory Technologies Co., Ltd. Method for detecting defects in deep features with laser enhanced electron tunneling effect

Families Citing this family (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI822448B (zh) * 2019-10-30 2023-11-11 德商卡爾蔡司Smt有限公司 確定積體半導體樣本中三維結構間的接觸區域尺寸的方法及其用途、電腦程式產品、以及半導體檢查裝置
US20220189840A1 (en) * 2020-12-16 2022-06-16 Stmicroelectronics Pte Ltd Passivation layer for an integrated circuit device that provides a moisture and proton barrier
US20220236051A1 (en) * 2021-01-25 2022-07-28 Changxin Memory Technologies, Inc. Method for detecting etching defects of etching equipment
TWI849479B (zh) * 2022-08-16 2024-07-21 榮昌科技股份有限公司 天線盤對位方法

Citations (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20070004193A1 (en) * 2005-07-01 2007-01-04 Taiwan Semiconductor Manufacturing Co., Ltd. Method for reworking low-k dual damascene photo resist
US20070072410A1 (en) * 2005-09-28 2007-03-29 Dongbu Electronics Co., Ltd. Method of forming copper interconnection using dual damascene process
US20080187842A1 (en) * 2007-02-06 2008-08-07 Taiwan Semiconductor Manufacturing Company, Ltd. Method and System For Wafer Inspection
US20090017630A1 (en) * 2007-07-14 2009-01-15 Kyoung Woo Lee Methods For Forming Contacts For Dual Stress Liner CMOS Semiconductor Devices
CN102414821A (zh) * 2009-05-01 2012-04-11 信越半导体股份有限公司 Soi晶片的检查方法
CN102737960A (zh) * 2011-04-14 2012-10-17 台湾积体电路制造股份有限公司 用于前馈先进工艺控制的方法和系统
CN105738379A (zh) * 2014-12-12 2016-07-06 上海和辉光电有限公司 一种多晶硅薄膜的检测装置及检测方法
CN107112212A (zh) * 2014-12-22 2017-08-29 东京毅力科创株式会社 使用接枝聚合物材料图案化基底
US20170372909A1 (en) * 2016-06-27 2017-12-28 International Business Machines Corporation Single or mutli block mask management for spacer height and defect reduction for beol

Family Cites Families (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6440615B1 (en) * 1999-02-09 2002-08-27 Nikon Corporation Method of repairing a mask with high electron scattering and low electron absorption properties
TW498435B (en) * 2000-08-15 2002-08-11 Hitachi Ltd Method of producing semiconductor integrated circuit device and method of producing multi-chip module
US6465889B1 (en) * 2001-02-07 2002-10-15 Advanced Micro Devices, Inc. Silicon carbide barc in dual damascene processing
US6876027B2 (en) * 2003-04-10 2005-04-05 Taiwan Semiconductor Manufacturing Company Method of forming a metal-insulator-metal capacitor structure in a copper damascene process sequence
US7148525B2 (en) * 2004-01-12 2006-12-12 Micron Technology, Inc. Using high-k dielectrics in isolation structures method, pixel and imager device
US7169701B2 (en) * 2004-06-30 2007-01-30 Taiwan Semiconductor Manufacturing Co., Ltd. Dual damascene trench formation to avoid low-K dielectric damage
US7851358B2 (en) 2005-05-05 2010-12-14 Taiwan Semiconductor Manufacturing Co., Ltd. Low temperature method for minimizing copper hillock defects
JP4739150B2 (ja) 2006-08-30 2011-08-03 富士通株式会社 レジストカバー膜形成材料、レジストパターンの形成方法、電子デバイス及びその製造方法
KR100885383B1 (ko) * 2007-09-27 2009-02-23 주식회사 동부하이텍 반도체 소자 및 그 제조 방법
US20100190272A1 (en) * 2009-01-23 2010-07-29 United Microelectronics Corp. Rework method of metal hard mask
JP2012533737A (ja) * 2009-07-16 2012-12-27 ケーエルエー−テンカー・コーポレーション パターン付き層上における改良された感度のための光学的欠陥増幅
JP6460617B2 (ja) 2012-02-10 2019-01-30 Hoya株式会社 反射型マスクブランク、反射型マスクの製造方法、及び反射型マスクブランクの製造方法
US9093263B2 (en) 2013-09-27 2015-07-28 Az Electronic Materials (Luxembourg) S.A.R.L. Underlayer composition for promoting self assembly and method of making and using
US10796912B2 (en) * 2017-05-16 2020-10-06 Lam Research Corporation Eliminating yield impact of stochastics in lithography

Patent Citations (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20070004193A1 (en) * 2005-07-01 2007-01-04 Taiwan Semiconductor Manufacturing Co., Ltd. Method for reworking low-k dual damascene photo resist
US20070072410A1 (en) * 2005-09-28 2007-03-29 Dongbu Electronics Co., Ltd. Method of forming copper interconnection using dual damascene process
US20080187842A1 (en) * 2007-02-06 2008-08-07 Taiwan Semiconductor Manufacturing Company, Ltd. Method and System For Wafer Inspection
US20090017630A1 (en) * 2007-07-14 2009-01-15 Kyoung Woo Lee Methods For Forming Contacts For Dual Stress Liner CMOS Semiconductor Devices
CN102414821A (zh) * 2009-05-01 2012-04-11 信越半导体股份有限公司 Soi晶片的检查方法
CN102737960A (zh) * 2011-04-14 2012-10-17 台湾积体电路制造股份有限公司 用于前馈先进工艺控制的方法和系统
CN105738379A (zh) * 2014-12-12 2016-07-06 上海和辉光电有限公司 一种多晶硅薄膜的检测装置及检测方法
CN107112212A (zh) * 2014-12-22 2017-08-29 东京毅力科创株式会社 使用接枝聚合物材料图案化基底
US20170372909A1 (en) * 2016-06-27 2017-12-28 International Business Machines Corporation Single or mutli block mask management for spacer height and defect reduction for beol

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2021068219A1 (en) * 2019-10-12 2021-04-15 Yangtze Memory Technologies Co., Ltd. Method for detecting defects in deep features with laser enhanced electron tunneling effect

Also Published As

Publication number Publication date
US11624985B2 (en) 2023-04-11
US10795270B2 (en) 2020-10-06
US20210018848A1 (en) 2021-01-21
TWI675253B (zh) 2019-10-21
CN109427604B (zh) 2021-03-02
US20190064675A1 (en) 2019-02-28
TW201913229A (zh) 2019-04-01

Similar Documents

Publication Publication Date Title
CN109427604A (zh) 缺陷检验方法、半导体装置的制造方法及半导体工艺方法
TWI728179B (zh) 具有非等向性介電常數之半導體結構之基於模型之光學量測
US6383824B1 (en) Method of using scatterometry measurements to control deposition processes
US9140544B2 (en) Optical system and method for measuring in patterned structures
US6618149B1 (en) Method of identifying film stacks based upon optical properties
JP4496076B2 (ja) デュアルダマシントレンチ深さのモニタリング
US20050032250A1 (en) Chamber stability monitoring using an integrated metrology tool
KR100587783B1 (ko) 웨이퍼스택의불완전에칭된비아,스페이스및불완전연마된부분탐지방법
US6596553B1 (en) Method of pinhole decoration and detection
JP2020506552A (ja) 三次元半導体ウェハ上の埋没欠陥を測定するための3次元較正構造及び方法
US20210164917A1 (en) Low-reflectivity back-illuminated image sensor
JP2008171911A (ja) ラフネス評価方法及びシステム
CN109324278A (zh) X光散射测量的方法
US8168451B2 (en) Optical inspection methods
CN100416821C (zh) 具有测量图案的半导体器件及其测量方法
CN100376026C (zh) 微电子器件的双镶嵌互连的制造方法
US6309900B1 (en) Test structures for testing planarization systems and methods for using same
KR20220050664A (ko) 패턴 특성의 예측을 위한 딥 러닝 모델의 학습 방법 및 반도체 소자 제조 방법
KR100790276B1 (ko) 반도체 소자의 보이드 검출을 위한 모니터링 방법
Lin et al. Fast and accurate scatterometry metrology method for STI CMP step height process evaluation
Yang et al. Line-profile and critical-dimension monitoring using a normal incidence optical CD metrology
JP7463551B2 (ja) トレンチの光測定用ターゲット
CN108227390B (zh) 一种光刻机的像质检测方法
Duan et al. Metrology of micro-step height structures using 3D scatterometry in 4x-nm advance DRAM
US11385187B1 (en) Method of fabricating particle size standards on substrates

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant