CN109390386B - 后栅极硅锗沟道凝结及其制造方法 - Google Patents

后栅极硅锗沟道凝结及其制造方法 Download PDF

Info

Publication number
CN109390386B
CN109390386B CN201810861103.5A CN201810861103A CN109390386B CN 109390386 B CN109390386 B CN 109390386B CN 201810861103 A CN201810861103 A CN 201810861103A CN 109390386 B CN109390386 B CN 109390386B
Authority
CN
China
Prior art keywords
layer
silicon
over
undoped
percentage
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
CN201810861103.5A
Other languages
English (en)
Other versions
CN109390386A (zh
Inventor
乔治·罗伯特·姆芬格
莱恩·史波尔
T·J·麦卡德尔
朱德尚·罗伯特·侯尔特
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
GlobalFoundries US Inc
Original Assignee
GlobalFoundries US Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by GlobalFoundries US Inc filed Critical GlobalFoundries US Inc
Publication of CN109390386A publication Critical patent/CN109390386A/zh
Application granted granted Critical
Publication of CN109390386B publication Critical patent/CN109390386B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66787Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
    • H01L29/66795Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • H01L29/66803Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET with a step of doping the vertical sidewall, e.g. using tilted or multi-angled implants
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/02227Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process
    • H01L21/0223Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process formation by oxidation, e.g. oxidation of the substrate
    • H01L21/02233Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process formation by oxidation, e.g. oxidation of the substrate of the semiconductor substrate or a semiconductor layer
    • H01L21/02236Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process formation by oxidation, e.g. oxidation of the substrate of the semiconductor substrate or a semiconductor layer group IV semiconductor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/02227Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process
    • H01L21/02255Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process formation by thermal treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/22Diffusion of impurity materials, e.g. doping materials, electrode materials, into or out of a semiconductor body, or between semiconductor regions; Interactions between two or more impurities; Redistribution of impurities
    • H01L21/225Diffusion of impurity materials, e.g. doping materials, electrode materials, into or out of a semiconductor body, or between semiconductor regions; Interactions between two or more impurities; Redistribution of impurities using diffusion into or out of a solid from or into a solid phase, e.g. a doped oxide layer
    • H01L21/2251Diffusion into or out of group IV semiconductors
    • H01L21/2254Diffusion into or out of group IV semiconductors from or through or into an applied layer, e.g. photoresist, nitrides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823821Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/84Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being other than a semiconductor body, e.g. being an insulating body
    • H01L21/845Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being other than a semiconductor body, e.g. being an insulating body including field-effect transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • H01L27/092Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors
    • H01L27/0924Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors including transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/12Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being other than a semiconductor body, e.g. an insulating body
    • H01L27/1203Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being other than a semiconductor body, e.g. an insulating body the substrate comprising an insulating body on a semiconductor body, e.g. SOI
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0657Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/08Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions with semiconductor regions connected to an electrode carrying current to be rectified, amplified or switched and such electrode being part of a semiconductor device which comprises three or more electrodes
    • H01L29/0843Source or drain regions of field-effect devices
    • H01L29/0847Source or drain regions of field-effect devices of field-effect transistors with insulated gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/10Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions with semiconductor regions connected to an electrode not carrying current to be rectified, amplified or switched and such electrode being part of a semiconductor device which comprises three or more electrodes
    • H01L29/1025Channel region of field-effect devices
    • H01L29/1029Channel region of field-effect devices of field-effect transistors
    • H01L29/1033Channel region of field-effect devices of field-effect transistors with insulated gate, e.g. characterised by the length, the width, the geometric contour or the doping structure
    • H01L29/1041Channel region of field-effect devices of field-effect transistors with insulated gate, e.g. characterised by the length, the width, the geometric contour or the doping structure with a non-uniform doping structure in the channel region surface
    • H01L29/1045Channel region of field-effect devices of field-effect transistors with insulated gate, e.g. characterised by the length, the width, the geometric contour or the doping structure with a non-uniform doping structure in the channel region surface the doping structure being parallel to the channel length, e.g. DMOS like
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/423Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
    • H01L29/42312Gate electrodes for field effect devices
    • H01L29/42316Gate electrodes for field effect devices for field-effect transistors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66787Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
    • H01L29/66795Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • H01L29/7851Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET with the body tied to the substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/12Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being other than a semiconductor body, e.g. an insulating body
    • H01L27/1203Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being other than a semiconductor body, e.g. an insulating body the substrate comprising an insulating body on a semiconductor body, e.g. SOI
    • H01L27/1211Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being other than a semiconductor body, e.g. an insulating body the substrate comprising an insulating body on a semiconductor body, e.g. SOI combined with field-effect transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET

Landscapes

  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Ceramic Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Thin Film Transistor (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Metal-Oxide And Bipolar Metal-Oxide Semiconductor Integrated Circuits (AREA)

Abstract

本发明涉及后栅极硅锗沟道凝结及其制造方法,其提供通过后栅极热凝结及氧化高锗百分比沟道层而在FinFET或FDSOI装置中形成分级硅锗百分比PFET沟道的方法及所产生的装置。数个具体实施例包括:形成栅极介电层于形成在衬底上方的多个硅鳍片上方;在各鳍片上方形成栅极;形成HM及间隔体层于各栅极的侧壁上方及各栅极的侧壁上;在各鳍片中形成邻近该栅极及间隔体层的u形空腔;在各u形空腔中且沿着各鳍片的数个侧壁外延成长无掺杂高百分比硅锗层;热凝结该高百分比硅锗层,以在该衬底及数个鳍片底下形成无掺杂低百分比硅锗;以及形成一S/D区于在各u形空腔中的该高百分比硅锗层上方,该S/D区的上表面低于该栅极介电层。

Description

后栅极硅锗沟道凝结及其制造方法
技术领域
本揭示内容是有关于硅锗(SiGe)鳍片场效晶体管(FinFET)半导体装置的制造。特别是,本揭示内容可应用于14纳米(nm)及更先进的技术节点。
背景技术
硅锗提供高于硅(Si)的载子移动率。FinFET的硅锗鳍片降低阈值电压(Vt),由此增加流动通过沟道的驱动电流。不过,用硅锗鳍片改善有效沟道长度(Leff)效能难以实现,因为(i)硅锗鳍片源于沟道接面(TJ)蚀刻的松弛;(ii)栅极氧化物导致鳍片粗糙度劣化的接口问题;(iii)p型场效晶体管(PFET)栅极诱发的漏极漏电流(GIDL);(iv)接口缺陷密度(DIT);以及(v)与制作硅锗鳍片的整合工艺相关的n型场效晶体管(NFET)问题,例如,氮化物衬里造成NFET泄漏。此外,因为高静态随机存取内存(SRAM)泄漏与硅锗鳍片整合限制(例如,低温STI加工及晶体缺陷),使得良率难以用硅锗鳍片证明。
因此,亟须一种能形成硅锗PFET沟道而没有习知复杂的加工或难题的方法。
发明内容
本揭示内容的一方面为一种通过后栅极热凝结及氧化高锗(Ge)百分比沟道层而在FinFET或全空乏型绝缘体上覆硅(FDSOI)装置中形成分级(graded)硅锗百分比PFET沟道的方法。
本揭示内容的另一方面为一种具有分级硅锗百分比PFET沟道的FinFET或FDSOI装置。
本揭示内容的其他方面及特征会在以下说明中提出以及部分在本领域一般技术人员审查以下内容或学习本揭示内容的实施后会明白。按照随附权利要求中特别提出者,可实现及得到本揭示内容的优点。
根据本揭示内容,某些技术效果部分可用一种方法达成,包括:形成多个硅鳍片于硅衬底上方;形成栅极介电层于该多个硅鳍片上方;形成栅极于该多个硅鳍片中的每一者上方;形成硬掩模(HM)及间隔体层于各栅极的数个侧壁上方及各栅极的数个侧壁上;在该多个硅鳍片中形成邻近该栅极及间隔体层的u形空腔;在各u形空腔中且沿着各硅鳍片的数个侧壁外延成长无掺杂高百分比硅锗层;热凝结该无掺杂高百分比硅锗层,以在该衬底及数个硅鳍片底下形成无掺杂低百分比硅锗;以及形成源极/漏极(S/D)区于在各u形空腔中的该无掺杂高百分比硅锗层上方,该S/D区的上表面低于该栅极介电层。
本揭示内容的数个方面包括含有20至50百分比的锗的该无掺杂高百分比硅锗层。其他数个方面包括含有5至20百分比的锗的该无掺杂低百分比硅锗层。另一方面包括:移除通过该无掺杂高百分比硅锗层的热凝结而形成的氧化物层。附加的数个方面包括:形成掺硼硅锗的S/D区。
本揭示内容的另一方面为一种装置,包括:多个无掺杂低百分比硅锗鳍片,在硅衬底上方具有u形无掺杂低百分比硅锗层在其间;无掺杂高百分比硅锗层,在该u形无掺杂低百分比硅锗层上方且沿着该无掺杂低百分比硅锗鳍片的数个侧壁;S/D区,在该无掺杂高百分比硅锗层上方,该S/D区的上表面与该无掺杂低百分比硅锗鳍片的上表面共面;栅极介电层,在各个无掺杂低百分比硅锗鳍片上方;栅极,在该栅极介电层上方;以及HM及间隔体层,在各栅极的相对侧壁上方及各栅极的相对侧壁上。
该装置的数个方面包括含有5至20百分比的锗的该无掺杂低百分比硅锗鳍片。另一方面包括完全延伸越过短沟道装置的沟道区与在长沟道装置的沟道区下部分延伸的该无掺杂低百分比硅锗层。其他数个方面包括含有20至50百分比的锗的该无掺杂高百分比硅锗层。又一方面包括厚度有4.5纳米至20纳米的该无掺杂高百分比硅锗层。
本揭示内容的又一方面为一种方法,包括:提供埋藏氧化物(BOX)层于具有NFET区及PFET区的硅衬底上方;提供SOI硅层(Si SOI layer)于该BOX层上方;形成浅沟槽隔离(STI)柱体,其在该NFET区与该PFET区之间穿过该SOI硅层及该BOX层和该衬底的一部分;形成栅极堆栈及第一HM于该NFET区与该PFET区中的每一者上面;在位于该NFET区上方的该SOI硅层上方以及沿着在该PFET区上方的该栅极堆栈及该第一HM的数个侧壁,形成第二HM;外延成长无掺杂高百分比硅锗层于在该PFET区上方的该SOI硅层上方;热凝结该无掺杂高百分比硅锗层,以将在该PFET区上方的该SOI硅层转换为无掺杂高百分比硅锗层与形成于该第二HM及该栅极堆栈的一部分底下的无掺杂低百分比硅锗;以及成长掺硼(B)硅锗S/D区于在该PFET区上方的该无掺杂高百分比硅锗层上方。
本揭示内容的数个方面包括:用以下步骤转换在该PFET区上方的该SOI硅层:将锗推进该SOI硅层;以及移除通过该无掺杂高百分比硅锗层的该热凝结而形成的氧化物层。其他数个方面包括:形成厚度有5纳米至10纳米的该SOI硅层。另一方面包括:用以下步骤形成各栅极堆栈:在该NFET区及该PFET区上方的该SOI硅层上方形成氧化铪(HfO2)介电层;形成氮化钛(TiN)功函数(WF)金属层于该HfO2介电层上方;以及形成多晶硅层于该TiN WF金属层上方。附加的数个方面包括:用以下步骤形成该HM:形成该HM于该衬底上方;以及移除在该SOI硅层上方的该HM与在该PFET区上方的该第一HM,留下沿着该第一HM与栅极堆栈的该侧壁的该HM。其他数个方面包括:该无掺杂高百分比硅锗层包括20至50百分比的锗。附加的数个方面包括:该无掺杂低百分比硅锗层包括5至20百分比的锗。
本揭示内容的又一方面为一种装置,包括:BOX层,在具有NFET区及PFET区的硅衬底上方;SOI硅层,在位于该NFET区上方的该BOX层上方;STI柱体,其在该NFET与该PFET区之间穿过该SOI硅层及该BOX层和该硅衬底的一部分;无掺杂高百分比硅锗层及无掺杂低百分比硅锗层,在位于该PFET区上方的该BOX层的数个部分上方;栅极堆栈,在该NFET区与该PFET区中的每一者上方;以及掺硼硅锗S/D,在该栅极堆栈相对两侧上、位于该无掺杂高百分比硅锗层上方。
本揭示内容的数个方面包括在该NFET区上方的该SOI硅层与在该PFET区上方的该无掺杂高百分比硅锗层有5纳米至10纳米的厚度。另一方面包括该无掺杂高百分比硅锗层与该无掺杂低百分比硅锗层分别包括20至50百分比的锗与5至20百分比的锗。
本领域技术人员由以下详细说明可明白本揭示内容的其他方面及技术效果,其中仅以预期可实现本揭示内容的最佳模式举例描述本揭示内容的具体实施例。应了解,本揭示内容能够做出其他及不同的具体实施例,以及在各种明显的方面,能够修改数个细节而不脱离本揭示内容。因此,附图及说明内容本质上应被视为图解说明用而不是用来限定。
附图说明
在此用附图举例说明而不是限定本揭示内容,图中类似的组件用相同的附图标记表示。
图1至图5的横截面图根据一示范具体实施例示意图示用于形成有分级硅锗百分比PFET沟道的FinFET装置的工艺流程;以及
图6至图8的横截面图根据一示范具体实施例示意图示用于形成有分级硅锗百分比PFET沟道的FDSOI装置的工艺流程。
具体实施方式
为了解释,在以下的说明中,提出许多特定细节供彻底了解示范具体实施例。不过,显然没有该特定细节或用等价配置仍可实施示范具体实施例。在其他情况下,众所周知的结构及装置用方块图图标以免不必要地混淆示范具体实施例。此外,除非另有说明,在本专利说明书及权利要求中表示成分、反应状态等等的数量、比例及数值性质的所有数字应被理解为在所有情况下可用措辞“约”来修饰。
本揭示内容针对及解决在后栅极(post gate)硅锗沟道凝结后随之而来的硅锗鳍片Leff效能及良率的当前问题。尤其是,解决该问题通过高锗百分比沟道层之后栅极热凝结及氧化以在FinFET或FDSOI装置中形成分级(graded)硅锗百分比PFET沟道以防止硅锗堆积缺陷(stacking fault),致能标准高温STI工艺与标准鳍片显露(fin reveal)工艺,且避免形成晶体缺陷,这通常与早期硅锗鳍片形成技术有关。
根据本揭示内容具体实施例的方法包括:形成多个硅鳍片于硅衬底上方。形成栅极介电层于该多个硅鳍片上方。形成栅极于该多个硅鳍片中的每一者上方。形成一HM及间隔体层于各栅极的数个侧壁上方及各栅极的数个侧壁上。在该多个硅鳍片中形成邻近该栅极及间隔体层的一u形空腔。一无掺杂高百分比硅锗层在各u形空腔中沿着各硅鳍片的数个侧壁外延成长。热凝结该无掺杂高百分比硅锗层,这在该衬底及数个硅鳍片底下形成一无掺杂低百分比硅锗,以及形成一S/D区于在各u形空腔中的该无掺杂高百分比硅锗层上方,该S/D区的一上表面低于该栅极介电层。
又在其他方面,本领域技术人员从以下说明可轻易明白特征及技术效果,其中仅通过图解说明考虑的最佳模式来图标及描述较佳具体实施例。本揭示内容能为其他及不同的具体实施例,以及它的数个细节在各种明显方面能够修改。因此,附图及说明应被视为本质上是图解说明用,而非限制。
图1至图5的横截面图根据一示范具体实施例示意图示用于形成具有分级硅锗百分比PFET沟道的FinFET装置的工艺流程。参照图1,在硅衬底103的PFET区上方形成数个硅鳍片101,例如,有100纳米至200纳米的高度,其中有30纳米至50纳米的主动部分,以及宽度有5纳米至12纳米。然后,形成例如厚度有10埃至30埃的栅极介电层105于硅鳍片101上方。在后续加工期间,栅极介电层105可由例如二氧化硅(SiO2)形成,且二氧化硅可换成高k栅极介电质,例如,HfO2(为了便于图解说明而未图示)。接下来,形成例如由多晶硅制成的栅极107于栅极介电层105上方。在取代金属栅极(RMG)加工期间,该多晶硅随后可换成包括TiN及钨(W)的金属堆栈(为了便于图解说明而未图示)。随后,在各栅极107的侧壁上方及侧壁上形成由例如SiN构成的HM及间隔体层,而形成低K间隔体109a与HM 109b。低K间隔体109a及HM 109b防止栅极107上的外延成长,且该低k材料保护互补装置(亦即,NFET)免于外延成长。然后,例如用干蚀刻法蚀刻硅鳍片101与硅衬底103以形成邻近栅极107及低K间隔体109a、有例如40纳米至50纳米的深度的u形空腔113。
接下来,在硅鳍片101的侧壁上与在u形空腔113上方外延成长例如有20至100百分比的锗(例如,20至50百分比)的高百分比无掺杂硅锗层201,如图2所示。参照图3,硅锗层201热凝结而在硅锗层201上方形成例如厚度有10纳米至25纳米的氧化物层301,并且将锗推进到在各栅极107及介电层105下的沟道区303。结果,在无掺杂高百分比硅锗层201、栅极介电层105及衬底103之间形成例如有5至20百分比的锗的无掺杂低百分比硅锗层305,而形成硅锗鳍片307与分级硅锗百分比PFET沟道303(硅锗层201及305)。无掺杂低百分比硅锗层305可在短沟道装置的例如有14纳米至20纳米的沟道区下面完全延伸,以及在长沟道装置的例如有30纳米或更多的沟道区下面部分延伸。
参照图4,移除氧化物层301从而减薄硅锗层201,例如,减薄到4.5纳米至20纳米的厚度,形成硅锗层201’。接下来,在各u形空腔113中,形成在无掺杂高百分比硅锗层201’上方且沿着硅锗鳍片307的侧壁向上达到栅极介电层105的例如掺硼硅锗的S/D区501,如图5所示。结果,热氧化导致在硅锗层305中的锗百分比较低,且在硅锗层305与随后形成的S/D区的界面处的锗百分比较高。
图6至图8的横截面图根据一示范具体实施例示意图示用于形成具有分级硅锗百分比PFET沟道的FDSOI装置的工艺流程。参照图6,形成有NFET区603及PFET区605的硅衬底601。形成BOX层607,例如,厚度有10纳米至25纳米,于硅衬底601上方。然后,形成SOI硅层609,例如,厚度有5纳米至10纳米,于BOX层607上方。接下来,在NFET区与PFET区603及605之间,形成分别穿过SOI硅层609及BOX层607、和硅衬底601的一部分的STI柱体611,例如,深度有10纳米至150纳米。随后,例如,通过栅极图案化,形成栅极堆栈613及615于在NFET区603及PFET区605上方的SOI硅层609的数个部分上方。栅极堆栈613包括在SOI硅层609的数个部分上方形成例如厚度约有15埃的HfO2介电层(为了便于图解说明而未图示);在HfO2介电层上方形成例如厚度约有10纳米的TiN WF金属层(为了便于图解说明而未图示);以及在TiNWF金属层上方形成例如厚度有20纳米至50纳米的多晶硅层(为了便于图解说明而未图示)。
接下来,于各栅极堆栈613上方形成例如由氮化硅(SiN)构成的HM层617,且厚度有10纳米至30纳米。之后,以共形(conformally)的方式于衬底601上方形成例如由氮化物构成的HM层,且厚度有3纳米至15纳米,然后回蚀,在NFET区603及STI柱体611的一部分上方以及沿着在PFET区605上方的栅极堆栈615及HM层617的侧壁,形成HM层619。
参照图7,在位于PFET区605上方的SOI硅层609上方,外延成长无掺杂高百分比硅锗层(为了便于图解说明而未图示),例如,到20纳米至30纳米的厚度。类似以上在说明图3时所述的工艺步骤,该无掺杂高百分比硅锗受到热凝结而产生氧化物层(为了便于图解说明而未图示),且锗被推进SOI硅层609及在栅极堆栈615下的沟道区,而形成SOI硅层609’,无掺杂高百分比硅锗层701,例如,有20至50百分比的锗,以及无掺杂低百分比硅锗层703,例如,有5至20百分比的锗(亦即,形成分级硅锗百分比PFET沟道)。接下来,移除该氧化物层。
如图8所示,外延成长无缺陷掺硼S/D区801于SOI硅层609’上方。结果,类似图5,在栅极堆栈615下的沟道区有较低百分比的锗且沟道区与S/D区801的界面处有高百分比的锗,亦即,在沟道/S/D界面处形成有较高锗百分比的超陡峭接面(ultra-steep junction)。
本揭示内容的具体实施例可实现数种技术效果,包括避免习知的硅锗鳍片整合限制(低温STI及晶体缺陷,源于高锗百分比的较陡峭接面,以及预期源于热凝结锗的高应力。此外,关于FDSOI装置,技术效果包括致能更好地避免超薄SOI沟道的结块缺陷(agglomerationdefect),致能超陡峭接面,以及致能具有紧密NFET/PFET空间的SRAM装置的阈值电压偏移(Vt shift)。根据本揭示内容的具体实施例形成的装置在产业上可用于各种工业应用,例如,微处理器、智能型手机、移动电话、手机、机顶盒、DVD刻录机及播放器、汽车导航、打印机及接口设备,网络及电信设备,游戏系统及数字相机。本揭示内容因此在产业上可应用于各种FinFET或FDSOI装置,特别是应用于例如14纳米及更先进的技术节点。
在以上说明中,特别用数个示范具体实施例描述本揭示内容。不过,显然仍可做出各种修改及改变而不脱离本揭示内容更宽广的精神及范畴,如权利要求所述。因此,本专利说明书及附图应被视为图解说明用而非限定。应了解,本揭示内容能够使用各种其他组合及具体实施例以及在如本文所述的本发明概念范畴内能够做出任何改变或修改。

Claims (20)

1.一种制造半导体装置的方法,该方法包含:
形成多个硅鳍片于硅衬底上方;
形成栅极介电层于该多个硅鳍片上方;
形成栅极于该多个硅鳍片中的每一者上方;
形成硬掩模及间隔体层于各栅极的数个侧壁上方及各栅极的数个侧壁上;
在该多个硅鳍片中形成邻近该栅极及间隔体层的一u形空腔;
在各u形空腔中且沿着各硅鳍片的数个侧壁外延成长无掺杂高百分比硅锗层;
热凝结该无掺杂高百分比硅锗层,以在该衬底及数个硅鳍片底下形成无掺杂低百分比硅锗层;以及
形成源极/漏极区于在各u形空腔中的该无掺杂高百分比硅锗层上方,该源极/漏极区的上表面低于该栅极介电层。
2.如权利要求1所述的方法,其中,该无掺杂高百分比硅锗层包含20至50百分比的锗。
3.如权利要求1所述的方法,其中,该无掺杂低百分比硅锗层包含5至20百分比的锗。
4.如权利要求1所述的方法,进一步包含:移除通过该无掺杂高百分比硅锗层的该热凝结而形成的氧化物层。
5.如权利要求1所述的方法,包含:形成有掺硼硅锗的该源极/漏极区。
6.一种半导体装置,包含:
多个无掺杂低百分比硅锗鳍片,在硅衬底上方,具有u形无掺杂低百分比硅锗层在其间;
无掺杂高百分比硅锗层,在该u形无掺杂低百分比硅锗层上方且沿着该无掺杂低百分比硅锗鳍片的数个侧壁;
源极/漏极区,在该无掺杂高百分比硅锗层上方,该源极/漏极区的上表面与该无掺杂低百分比硅锗鳍片的上表面共面;
栅极介电层,在各个无掺杂低百分比硅锗鳍片上方;
栅极,在该栅极介电层上方;以及
硬掩模及间隔体层,在各栅极的相对侧壁上方及各栅极的相对侧壁上。
7.如权利要求6所述的半导体装置,其中,该无掺杂低百分比硅锗鳍片包含5至20百分比的锗。
8.如权利要求6所述的半导体装置,其中,该无掺杂低百分比硅锗层完全延伸越过短沟道装置的沟道区且在长沟道装置的沟道区下部分延伸。
9.如权利要求6所述的半导体装置,其中,该无掺杂高百分比硅锗层包含20至50百分比的锗。
10.如权利要求6所述的半导体装置,其中,该无掺杂高百分比硅锗层有4.5纳米至20纳米的厚度。
11.一种制造半导体装置的方法,该方法包含:
提供埋藏氧化物层于具有n型场效晶体管区及p型场效晶体管区的硅衬底上方;
提供绝缘体上覆硅硅层于该埋藏氧化物层上方;
形成浅沟槽隔离柱体,其在该n型场效晶体管区与该p型场效晶体管区之间穿过该绝缘体上覆硅硅层及该埋藏氧化物层和该衬底的一部分;
形成栅极堆栈及第一硬掩模于该n型场效晶体管区与该p型场效晶体管区中的每一者上方;
在位于该n型场效晶体管区上方的该绝缘体上覆硅硅层上方以及沿着在该p型场效晶体管区上方的该栅极堆栈及该第一硬掩模的数个侧壁,形成第二硬掩模;
外延成长无掺杂高百分比硅锗层于在该p型场效晶体管区上方的该绝缘体上覆硅硅层上方;
热凝结该无掺杂高百分比硅锗层,以将在该p型场效晶体管区上方的该绝缘体上覆硅硅层转换为无掺杂高百分比硅锗层与形成于该第二硬掩模及该栅极堆栈的一部分底下的无掺杂低百分比硅锗层;以及
成长掺硼硅锗源极/漏极区于在该p型场效晶体管区上方的该无掺杂高百分比硅锗层上方。
12.如权利要求11所述的方法,包含用以下步骤转换在该p型场效晶体管区上方的该绝缘体上覆硅硅层:
将锗推进该绝缘体上覆硅硅层;以及
移除通过该无掺杂高百分比硅锗层的该热凝结而形成的氧化物层。
13.如权利要求11所述的方法,包含:形成厚度有5纳米至10纳米的该绝缘体上覆硅硅层。
14.如权利要求11所述的方法,包含用下列步骤形成各栅极堆栈:
形成氧化铪介电层于在该n型场效晶体管区与该p型场效晶体管区上方的该绝缘体上覆硅硅层上方;
形成氮化钛功函数金属层于该氧化铪介电层上方;以及
形成多晶硅层于该氮化钛功函数金属层上方。
15.如权利要求11所述的方法,包含用下列步骤形成该硬掩模:
形成该硬掩模于该衬底上方;以及
移除在该绝缘体上覆硅硅层上方的该硬掩模与在该p型场效晶体管区上方的该第一硬掩模,留下沿着该第一硬掩模与栅极堆栈的该侧壁的该硬掩模。
16.如权利要求11所述的方法,其中,该无掺杂高百分比硅锗层包含20至50百分比的锗。
17.如权利要求11所述的方法,其中,该无掺杂低百分比硅锗层包含5至20百分比的锗。
18.一种半导体装置,包含:
埋藏氧化物层,在具有n型场效晶体管区及p型场效晶体管区的硅衬底上方;
绝缘体上覆硅硅层,在位于该n型场效晶体管区上方的该埋藏氧化物层上方;
浅沟槽隔离柱体,在该n型场效晶体管区与p型场效晶体管区之间穿过该绝缘体上覆硅硅层及该埋藏氧化物层和该硅衬底的一部分;
无掺杂高百分比硅锗层及无掺杂低百分比硅锗层,在位于该p型场效晶体管区上方的该埋藏氧化物层的数个部分上方;
栅极堆栈,在该n型场效晶体管区与该p型场效晶体管区中的每一者上方;以及
掺硼硅锗源极/漏极,在该栅极堆栈的相对两侧上、位于该无掺杂高百分比硅锗层上方;
其中,该无掺杂高百分比硅锗层及该无掺杂低百分比硅锗层中的锗百分比沿着该埋藏氧化物层的表面分级。
19.如权利要求18所述的半导体装置,其中,在该n型场效晶体管区上方的该绝缘体上覆硅硅层与在该p型场效晶体管区上方的该无掺杂高百分比硅锗层有5纳米至10纳米的厚度。
20.如权利要求18所述的半导体装置,其中,该无掺杂高百分比硅锗层与该无掺杂低百分比硅锗层分别包含20至50百分比的锗与5至20百分比的锗。
CN201810861103.5A 2017-08-03 2018-08-01 后栅极硅锗沟道凝结及其制造方法 Active CN109390386B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US15/668,012 2017-08-03
US15/668,012 US10043893B1 (en) 2017-08-03 2017-08-03 Post gate silicon germanium channel condensation and method for producing the same

Publications (2)

Publication Number Publication Date
CN109390386A CN109390386A (zh) 2019-02-26
CN109390386B true CN109390386B (zh) 2021-11-26

Family

ID=63014007

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201810861103.5A Active CN109390386B (zh) 2017-08-03 2018-08-01 后栅极硅锗沟道凝结及其制造方法

Country Status (3)

Country Link
US (2) US10043893B1 (zh)
CN (1) CN109390386B (zh)
TW (1) TWI652725B (zh)

Families Citing this family (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10325820B1 (en) * 2018-01-10 2019-06-18 International Business Machines Corporation Source and drain isolation for CMOS nanosheet with one block mask
US10916633B2 (en) 2018-10-23 2021-02-09 International Business Machines Corporation Silicon germanium FinFET with low gate induced drain leakage current
KR20210046915A (ko) 2019-10-18 2021-04-29 삼성전자주식회사 반도체 소자
KR20220048131A (ko) 2020-10-12 2022-04-19 삼성전자주식회사 반도체 장치 및 이의 제조 방법

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20060276052A1 (en) * 2005-06-06 2006-12-07 Commissariat A L'energie Atomique Method for producing a component comprising at least one germanium-based element and component obtained by such a method
CN100444336C (zh) * 2004-06-29 2008-12-17 国际商业机器公司 一种p型mosfet的结构及其制作方法
US20140008730A1 (en) * 2012-07-03 2014-01-09 Imec Complementary Metal-Oxide-Semiconductor Device Comprising Silicon and Germanium and Method for Manufacturing Thereof
US20160372547A1 (en) * 2010-12-21 2016-12-22 Intel Corporation Column iv transistors for pmos integration

Family Cites Families (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8211761B2 (en) 2006-08-16 2012-07-03 Globalfoundries Singapore Pte. Ltd. Semiconductor system using germanium condensation
US8623728B2 (en) 2009-07-28 2014-01-07 Taiwan Semiconductor Manufacturing Company, Ltd. Method for forming high germanium concentration SiGe stressor
JP2011071235A (ja) * 2009-09-24 2011-04-07 Toshiba Corp 半導体装置及びその製造方法
US20120276695A1 (en) 2011-04-29 2012-11-01 International Business Machines Corporation Strained thin body CMOS with Si:C and SiGe stressor
US10396201B2 (en) 2013-09-26 2019-08-27 Intel Corporation Methods of forming dislocation enhanced strain in NMOS structures
US9196710B2 (en) * 2014-02-11 2015-11-24 GlobalFoundries, Inc. Integrated circuits with relaxed silicon / germanium fins
KR102235578B1 (ko) * 2014-11-19 2021-04-02 삼성전자주식회사 반도체 장치 및 그 제조 방법
US9466723B1 (en) * 2015-06-26 2016-10-11 Globalfoundries Inc. Liner and cap layer for placeholder source/drain contact structure planarization and replacement
US20170033184A1 (en) 2015-07-27 2017-02-02 International Business Machines Corporation Semiconductor device including fin having condensed channel region

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN100444336C (zh) * 2004-06-29 2008-12-17 国际商业机器公司 一种p型mosfet的结构及其制作方法
US20060276052A1 (en) * 2005-06-06 2006-12-07 Commissariat A L'energie Atomique Method for producing a component comprising at least one germanium-based element and component obtained by such a method
US20160372547A1 (en) * 2010-12-21 2016-12-22 Intel Corporation Column iv transistors for pmos integration
US20140008730A1 (en) * 2012-07-03 2014-01-09 Imec Complementary Metal-Oxide-Semiconductor Device Comprising Silicon and Germanium and Method for Manufacturing Thereof

Also Published As

Publication number Publication date
US10043893B1 (en) 2018-08-07
CN109390386A (zh) 2019-02-26
US20190043967A1 (en) 2019-02-07
US10326007B2 (en) 2019-06-18
TW201911375A (zh) 2019-03-16
TWI652725B (zh) 2019-03-01

Similar Documents

Publication Publication Date Title
US10164022B2 (en) FinFETs with strained well regions
US9991261B2 (en) Gate-all-around nanowire device and method for manufacturing such a device
US9978870B2 (en) FinFET with buried insulator layer and method for forming
US10141232B2 (en) Vertical CMOS devices with common gate stacks
US9614058B2 (en) Methods of forming low defect replacement fins for a FinFET semiconductor device and the resulting devices
US9741800B2 (en) III-V multi-channel FinFETs
KR101125272B1 (ko) 역전된 t자 모양의 핀들을 갖는 복수-게이트 트랜지스터들
US9543209B2 (en) Non-planar transistors with replacement fins and methods of forming the same
US9240342B2 (en) Methods of forming replacement fins for a FinFET semiconductor device by performing a replacement growth process
CN109390386B (zh) 后栅极硅锗沟道凝结及其制造方法
US8217469B2 (en) Contact implement structure for high density design
US20160260841A1 (en) Etsoi with reduced extension resistance
US9748142B2 (en) FinFETs with strained well regions
US9620507B2 (en) Silicon-on-nothing transistor semiconductor structure with channel epitaxial silicon-germanium region
US20120319167A1 (en) Mask-less and Implant Free Formation of Complementary Tunnel Field Effect Transistors
JP2011103434A (ja) 高移動度チャネル(High−MobilityChannels)を有する装置のソース/ドレイン工学
US9536795B2 (en) Multiple threshold voltage trigate devices using 3D condensation
US8389391B2 (en) Triple-gate transistor with reverse shallow trench isolation
US9911601B2 (en) Epitaxial silicon germanium fin formation using sacrificial silicon fin templates
US11955536B2 (en) Semiconductor transistor structure and fabrication method thereof
US8921188B2 (en) Methods of forming a transistor device on a bulk substrate and the resulting device
US11908903B2 (en) Process window control for gate formation in semiconductor devices

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination
TA01 Transfer of patent application right
TA01 Transfer of patent application right

Effective date of registration: 20210311

Address after: California, USA

Applicant after: Lattice chip (USA) integrated circuit technology Co.,Ltd.

Address before: Greater Cayman Islands, British Cayman Islands

Applicant before: GF

GR01 Patent grant
GR01 Patent grant