CN109375471A - Lithography system with embedded cleaning module - Google Patents

Lithography system with embedded cleaning module Download PDF

Info

Publication number
CN109375471A
CN109375471A CN201811222288.1A CN201811222288A CN109375471A CN 109375471 A CN109375471 A CN 109375471A CN 201811222288 A CN201811222288 A CN 201811222288A CN 109375471 A CN109375471 A CN 109375471A
Authority
CN
China
Prior art keywords
mask
cleaning
lithography system
designed
module
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
CN201811222288.1A
Other languages
Chinese (zh)
Inventor
简上杰
陈政宏
吴瑞庆
陈家桢
谢弘璋
吕启纶
余家豪
张世明
严涛南
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Original Assignee
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US14/168,114 external-priority patent/US10459353B2/en
Application filed by Taiwan Semiconductor Manufacturing Co TSMC Ltd filed Critical Taiwan Semiconductor Manufacturing Co TSMC Ltd
Publication of CN109375471A publication Critical patent/CN109375471A/en
Pending legal-status Critical Current

Links

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/708Construction of apparatus, e.g. environment aspects, hygiene aspects or materials
    • G03F7/70908Hygiene, e.g. preventing apparatus pollution, mitigating effect of pollution or removing pollutants from apparatus
    • G03F7/70925Cleaning, i.e. actively freeing apparatus from pollutants, e.g. using plasma cleaning

Abstract

The present invention provides a kind of lithography systems.The lithography system includes being configured so that the mask being fixed in mask platform implements the exposure module of photolithographic exposure technique;And it is integrated in exposure module and is designed as cleaning the cleaning module of at least one of mask and mask platform using adsorbing mechanism.The present invention also provides the lithography systems with embedded cleaning module.

Description

Lithography system with embedded cleaning module
The application be submitted on March 7th, 2014 application No. is 201410084248.0 it is entitled " have it is embedded The divisional application of the application for a patent for invention of the lithography system of cleaning module ".
Technical field
This patent disclosure relates generally to technical field of semiconductors, more particularly to the photoetching system with embedded cleaning module System.
Background technique
Semiconductor integrated circuit (IC) industry has been subjected to quickly developing.Technological progress in IC material and design has produced The IC in several generations is given birth to, wherein per generation IC has smaller and more complicated circuit than prior-generation IC.In IC development process, lead to Often with geometric dimension (that is, using manufacturing process can made of minimal parts or line) reduction, functional density is (that is, each The quantity of interconnection devices on chip area) increase.This scaled technique, which usually passes through, increases production efficiency and reduction phase It closes cost and benefit is provided.However, this scaled technique also adds processing and manufactures the complexity of IC, and in order to It realizes these progress, needs IC processing and the similar development in manufacture.In an example relevant to lithographic patterning, photoetching Photomask used in technique (or mask) has the circuit pattern limited on it and is transferred to wafer.Advanced In photoetching technique, implement far ultraviolet (EUV) photoetching process using reflection mask.It needs to clean reflection mask so that mask is intact It falls into.
In photoetching process field, cleaning mask is necessary.Impossible purge chamber and exposure entirely without particle Operation or transmission mask in optical tool.In other words, mainly in transmission process caused a degree of environment nanoscale or Macro-level particle can be adhered directly to the back or front of mask, to reduce the cleannes of mask and mask platform.To, by The yield of photofabricated product is compromised in not cleaning mask.Therefore, as effectively cleaning is covered in the case where how damaging close to zero Mould is a key subjects in photoetching process.In an example, existing cleaning process can cause various damages to mask, Or there is high manufacturing cost.In another example, existing cleaning process cannot be effectively removed nanometer particle.In another reality In example, existing cleaning method is more complicated and is related to high cost tool.In a further example, in the existing cleaning process phase Between can may also introduce additional particle.Without effective cleaning method and system in EUV lithography technique.In EUV lithography system System is internal cannot to use vacuum technique.
System and method therefore, it is necessary to solve the above problems.
Summary of the invention
In order to solve the problems in the existing technology, according to an aspect of the invention, there is provided a kind of lithography system, Include: exposure module, is configured so that the mask being fixed in mask platform implements photolithographic exposure technique;And cleaning module, collection At in the exposure module, and the cleaning module is designed to be used in adsorbing mechanism and cleans the mask and the mask At least one of platform.
In above-mentioned lithography system, wherein the cleaning module includes: cleaning structure with the adsorbing mechanism and sets It is calculated as fixing and operating the operating mechanism of the cleaning structure.
In above-mentioned lithography system, wherein the cleaning module includes: cleaning structure with the adsorbing mechanism and sets It is calculated as fixing and operating the operating mechanism of the cleaning structure;The cleaning structure includes carrier substrates and is attached to the carrier The absorption object of substrate.
In above-mentioned lithography system, wherein the cleaning module includes: cleaning structure with the adsorbing mechanism and sets It is calculated as fixing and operating the operating mechanism of the cleaning structure;The cleaning structure includes carrier substrates and is attached to the carrier The absorption object of substrate;The carrier substrates are that have the mask substrate of the shape and size of the mask.
In above-mentioned lithography system, wherein the cleaning module includes: cleaning structure with the adsorbing mechanism and sets It is calculated as fixing and operating the operating mechanism of the cleaning structure;The cleaning structure includes carrier substrates and is attached to the carrier The absorption object of substrate;The absorption object includes the material with apolar chain and polar compound.
In above-mentioned lithography system, wherein the cleaning module includes: cleaning structure with the adsorbing mechanism and sets It is calculated as fixing and operating the operating mechanism of the cleaning structure;The cleaning structure includes carrier substrates and is attached to the carrier The absorption object of substrate;The absorption object includes selected from by adhesive tape, polysaccharide, the polyethylene with-OH key and high chemical polarity Cohesive material in the group of alcohol (PVA) and the natural emulsion composition with surfactant.
In above-mentioned lithography system, wherein the cleaning module includes: cleaning structure with the adsorbing mechanism and sets It is calculated as fixing and operating the operating mechanism of the cleaning structure;The cleaning structure includes the electrostatic mechanism of electric current driving to generate For adsorbing the electrostatic force of particle.
In above-mentioned lithography system, wherein the cleaning module includes: cleaning structure with the adsorbing mechanism and sets It is calculated as fixing and operating the operating mechanism of the cleaning structure;The cleaning structure includes with tacky surfaces and being designed as inciting somebody to action The roller rolled on the surface to be cleaned.
In above-mentioned lithography system, wherein the cleaning module includes: absorption object, is designed as cleaning the mask;With And mask control member, it is designed as the absorption object being moved to the mask, and the mask control member can operate To apply pressure to the absorption object.
It further include chamber in above-mentioned lithography system, the chamber includes: mask library, is designed as accommodating multiple masks;It covers Mould executor is designed as fixing and shifting one in the multiple mask;And the cleaning module, it configures in the chamber In.
In above-mentioned lithography system, in which: the exposure module includes far ultraviolet (EUV) light source in the photolithographic exposure The EUV light for being used for exposure semiconductor wafer is generated during technique;The mask platform is electrostatic chuck by the fixed institute of electrostatic force State mask;And the mask is reflection mask.
In above-mentioned lithography system, in which: the exposure module includes far ultraviolet (EUV) light source in the photolithographic exposure The EUV light for being used for exposure semiconductor wafer is generated during technique;The mask platform is electrostatic chuck by the fixed institute of electrostatic force State mask;And the mask is reflection mask;Wherein: the mask library is accessible to accommodate the cleaning structure, institute Cleaning structure is stated to be designed to clean the mask platform;The cleaning structure has the shape and size of the mask;And institute It states mask platform and is capable of fixing the cleaning structure for cleaning the mask platform.
It according to another aspect of the present invention, further include a kind of lithography system, comprising: exposure module is designed as implementing light Exposure technology is carved, and the exposure module is configured in the closed chamber being maintained in vacuum environment;And cleaning module, It is integrated with the exposure module, wherein the cleaning module includes having adsorbing mechanism to remove the cleaning structure of particle and set It is calculated as fixing and shifting the operating mechanism of the cleaning structure.
In above-mentioned lithography system, in which: the cleaning structure includes carrier substrates and is attached to the carrier substrates Sorbing material layer;And the carrier substrates have the shape and size of mask.
In above-mentioned lithography system, wherein the cleaning structure includes being selected from by adhesive tape, polysaccharide, having-OH key and heightization Learn the cohesive material in the group of polar polyvinyl alcohol (PVA) and the natural emulsion composition with surfactant.
In above-mentioned lithography system, in which: the exposure module includes far ultraviolet (EUV) light source to generate EUV light;Mask Platform is electrostatic chuck, to pass through electrostatic force permanent mask;And the mask is reflection mask.
It further include chamber in above-mentioned lithography system, the chamber has the cleaning module being embedded in, In, the chamber further include: mask library is designed as accommodating multiple masks;And mask executor, it is designed as turning for mask It moves.
According to a further aspect of the invention, including a kind of method, comprising: load mask to being designed as implementing photoetching exposure In the lithography system of light technology, the lithography system is embedded with the cleaning module with adsorbing mechanism;The mask is fixed to Mask platform;Photolithographic exposure technique is implemented to semiconductor crystal wafer using the mask by the lithography system;And by described Cleaning module cleans the mask.
It in the above-mentioned methods, further include that the mask is transferred to the mask library after cleaning the mask.
It in the above-mentioned methods, further include cleaning the mask platform using the cleaning structure of the cleaning module, in which: described Cleaning structure includes carrier substrates and the sorbing material layer for attaching to the carrier substrates;And the carrier substrates are with described The shape and size of mask.
Detailed description of the invention
When reading in conjunction with the accompanying drawings, the present invention may be better understood by described in detail below.It should be emphasized that It is that according to the standard practices in industry, all parts are not drawn to scale and for illustration purposes only.In fact, in order to clear Chu is discussed, and the size of all parts can be arbitrarily increased or be reduced.
The block diagram of Fig. 1 is that is constructed according to various embodiments the be embedded in lithography system of cleaning module.
Fig. 2 is the block diagram of the cleaning module constructed according to various embodiments.
Fig. 3 is the schematic diagram according to the cleaning module of Fig. 2 of one or more examples building.
Fig. 4 A to Fig. 4 C shows signal of the cleaning module in each wash phase of the Fig. 2 constructed according to other examples Figure.
Fig. 5 is the schematic diagram according to the cleaning module of Fig. 2 of another example building.
Fig. 6 A and Fig. 6 B are the schematic diagrames according to the cleaning module of Fig. 2 of another example building.
Fig. 7 A and Fig. 7 B are the schematic diagrames according to the cleaning module of Fig. 2 of different instances building.
A part of the lithography system of Fig. 8 A and Fig. 8 B are that is constructed according to various embodiments be embedded with Fig. 1 of cleaning module Block diagram.
Fig. 9 is the method for implementing photolithographic exposure technique and cleaning mask according to the building of one or more embodiments Flow chart.
Figure 10 is the schematic diagram according to the reticle container of one embodiment building.
Figure 11 is the flow chart according to the method for the cleaning mask of other embodiments building.
Figure 12 is the flow chart according to the method for the mask platform for cleaning lithography system of some embodiments building.
Figure 13 is the flow chart according to the method for the mask platform for cleaning lithography system of other embodiments building.
Specific embodiment
Following disclosure provides many different embodiments or examples of many different characteristics for carrying out the present invention. The following describe the specific examples of component and arrangement to simplify the present invention.Certainly, this is only example, is not meant to limit this Invention.For example, in the following description, the first component be formed in above second component or on may include the first component and second The embodiment that component is formed in a manner of directly contacting, and can also be included between the first component and second component and form volume Outer component, to prevent the first component and second component from the embodiment that directly contacts.In addition, the present invention can be in each example Middle repeat reference numerals and/or character.The repetition is for purpose of brevity and clarity, and itself to be not specified by described each Relationship between a embodiment and/or structure.
Fig. 1 is the block diagram of the lithography system 10 of the various aspects building in one or more embodiments according to the present invention. Lithography system 10 can also be commonly called scanner, can operate the scanner to utilize corresponding radiation source and exposure module Implement photolithographic exposure technique.In the present embodiment, lithography system 10 is designed as exposing light by the EUV light from radiation source Far ultraviolet (EUV) lithography system of photoresist layer.Photoresist layer is the material to EUV photaesthesia.EUV lithography system 10 is using radiation Source 12 generates EUV light, EUV light of such as wavelength between about 1nm and the range of about 100nm.In a particular instance, 12 generation wavelength of EUV radiation source concentrates on the EUV light of about 13.5nm.
Lithography system 10 also uses photophore 14.In various embodiments, photophore 14 including such as simple lens or has The various refraction light components of the lens system of multiple lens (zone plate) or such as simple reflector or with the anti-of multiple reflecting mirrors The optional reflecting optic (for EUV lithography system) for penetrating mirror system, light is directed in mask platform 16 from radiation source 12, Particularly, it is directed to the mask 18 being fixed in mask platform 16.This implementation of the light in EUV wavelength range is generated in radiation source 12 In example, using reflecting optic.
Lithography system 10 further includes the mask platform 16 configured with permanent mask 18.In the present embodiment, mask platform 16 includes Electrostatic chuck (e chuck) is with permanent mask 18.This is because gas molecules sorb EUV light and will be used for EUV lithography patterning Lithography system be maintained in vacuum environment to avoid EUV loss of strength.
In the present invention, term mask, photomask and reticle mask are for representing identical article.In the present embodiment, Lithography system 10 is EUV lithography system, and mask 18 is reflection mask.An exemplary structure of mask 18 is provided to be used for Explanation.Mask 18 includes the substrate with suitable material, such as low thermal expansion material (LTEM) or vitreous silica.In each example In, LTEM includes TiO2The SiO of doping2Or other suitable materials with low thermal expansion.Mask 18 includes being deposited on substrate Multiple reflecting layer (ML).ML includes multiple films pair, such as molybdenum-silicon (Mo/Si) film to (for example, in each film pair, molybdenum layer position On or below silicon layer).Optionally, ML may include molybdenum-beryllium (Mo/Be) film pair or be configured to high reflection EUV light its His suitable material.Mask 18 further includes the absorbed layer for being deposited on such as tantalum boron nitride (TaBN) layer above ML.Patterning is inhaled Layer is received to limit the layer of integrated circuit (IC).It is alternatively possible in another reflecting layer of ML disposed thereon and pattern the reflection Layer is to limit the layer of integrated circuit, to form EUV phase shifting mask.
Lithography system 10 further includes projection optical module (or projection optics case (POB)) 20 so that the pattern of mask 18 to be imaged On object 22 (such as semiconductor crystal wafer) on to the substrate table 24 for being fixed on lithography system 10.In various embodiments, POB 20 have refraction light component (such as UV lithography system) or optional reflecting optic (such as EUV lithography system). The light being oriented to by PBO 20 collected from mask 18, wherein the light carries the image of the pattern limited on mask.
Lithography system 10 further includes substrate table 24 with fixed object 22.In the present embodiment, object 22 is semiconductor Wafer, the Silicon Wafer that such as will be patterned into or other kinds of wafer.In the present embodiment, it is coated on object to such as The photoresist layer of the radiation laser beam sensitivity of EUV light.In the present embodiment, above-described all parts integrate for use as It can be used to execute the photolithographic exposure module of photolithographic exposure technique.
Particularly, in various embodiments, lithography system 10 includes being designed as cleaning mask 18, mask platform 16 or both Cleaning module 26.Cleaning module 26 is embedded in lithography system 10 and integrates with photolithographic exposure module, thus allows for online Cleaning operation.Cleaning module 26 is designed as with adsorbing mechanism to effectively clean mask and/or mask platform, without right The mask (or mask platform) that will be cleaned causes additional pollution/damage.
Lithography system 10 with embedded cleaning module 26 is provided for effectively on-line cleaning mask or mask platform System and method, especially when lithography system 10 is EUV lithography system.In the present embodiment, mask 18 is in EUV lithography Reflection mask used in exposure technology is used to pattern the integrated circuit with small parts size.Since mask repeats For patterning multiple semiconductor crystal wafers, the defect on mask can be transferred to multiple semiconductor substrates and great yield is caused to be asked Topic.Defect including pollution can be operated by each mask process and be introduced to mask (and being further incorporated into mask platform).? In some embodiments, mask process operation includes mask detection, mask transport and processing, mask storage, mask transfer and will cover Mould is fixed in mask platform.In other embodiments of the reflection mask for EUV lithography system, mask process operation includes manufacture Inspection, transport and processing, vacuum storage, are transferred to vacuum mask library, prealignment and temperature stabilization and fix cleaning masks On electrostatic chuck.
In the present embodiment, cleaning module 26 can be operated to clean mask 18 and/or the mask platform inside lithography system 10 16 (objects or target object collectively referenced as to be cleaned), to remove and eliminate particle and other pollutants.
The cleaning module 26 constructed according to some embodiments is further illustrated with schematic block diagram in Fig. 2.Clean mould Block 26 includes cleaning structure 28, is adsorbed and is removed using adsorbing mechanism from target object (such as mask or mask platform) Particle and other pollutants, to reduce or eliminate the damage to mask and/or mask platform.In one embodiment, cleaning knot Structure 28 includes absorption object (cleaning material) 28A with such as jointing material of tacky surfaces 28B, thus when tacky surfaces connect It can be by particle absorption to tacky surfaces when close-target object.In addition, can to cleaning material apply pressure, with ensure cleaning material and Contact between target object.In another embodiment, cleaning structure 28 may include the mechanism of such as electrostatic force to particle Generate adsorption capacity.
Cleaning structure 28 can further comprise the carrier body 28C of such as carrier substrates, thus with enough mechanical strengths Fixed and support cleaning material.For example, carrier substrates can be suitable plate, there is the cleaning material being attached thereon, and The cleaning material for cleaning operation is supported with enough mechanical strengths.Carrier substrates are designed as having and target object The specific geometry (shape and size) to match.In one embodiment, carrier substrates are designed as with mask 18 Shape and size.
Cleaning module 26 can also include operating mechanism 30 with fixation, transfer and operation (such as application pressure) cleaning structure 28, so that cleaning structure 28 be made to be able to carry out cleaning function.In one embodiment, operating mechanism 30 includes being integrated in photoetching system Manipulator 30A in system 10, and manipulator 30A is configured as operationally holding and moving cleaning structure 28.Manipulation machine Structure 30 can also include fixed equipment 30B, have the mechanism and configuration that manipulator 30A is fixed to equipment.For example, manipulator 30A is fixed in cleaning system by fixed equipment 30B.In another example, manipulator 30A passes through with suitable configurations Fixed equipment 30B is fixed in lithographic exposure systems to realize cleaning operation.In another embodiment, operating mechanism 30 may be used also To include control unit 30C, manipulator is operatively controlled for various movements and cleaning operation.Control unit 30C can To integrate or be distributed at various locations with manipulator 30A.For example, control unit 30C can be integrated in lithographic exposure systems and It connect with manipulator 30A to control cleaning operation.
Cleaning module 26 is further described according to various embodiments.In the one embodiment being shown in FIG. 3, cleaning structure 28 include the surface for having the cleaning material layer 32 of tacky surfaces to clean target object 34.Also by the cleaning with tacky surfaces Material layer is known as viscous material layer.In various embodiments, target object 34 includes mask 18 or mask platform 16.Cleaning material layer 32 Applied to the surface of target object 34, so that various particles 36 be made to be adsorbed on the tacky surfaces of cleaning material layer 32, therefore from mesh It marks and removes particle 36 at object 34.
Cleaning material layer 32 may include the suitable material with apolar chain and polar compound, such as with- The material of OH ,-H and-O, to be easy the adsorption particle from target object 34.The cleaning material is not scrape problem Flexible material.In various embodiments, cleaning material 32 includes suitable adhesive tape, polysaccharide, with-OH key and high chemical polarity Polyvinyl alcohol (PVA), and sticky natural emulsion (such as rubber) is adjusted with surfactant.
Fig. 4 A, Fig. 4 B and Fig. 4 C further show an example with schematic diagram.With reference to Fig. 4 A, cleaning material layer 32 is shifted To target object 34.Additional pressure 38 is further applied to ensure cleaning material layer 32 and target object to cleaning material layer 32 It is fully contacted between 34.As mentioned above, cleaning material layer 32 can be attached to carrier substrates (to provide suitable machine Tool intensity) cleaning structure a part.
With reference to Fig. 4 B, cleaning material layer 32 comes into full contact with the surface that will be cleaned of target object 34.Especially, it will clean Material layer 32 be designed as it is flexible so that surface profile in response to target object 34 surface profile and change.When one Or multiple particles, when appearing on target object 34, the corresponding surface profile of target object 34 will be revised as with Local Convex Block.In response to local convex, the surface profile of cleaning material layer 32 is substantially complementary with the surface profile of target object 34.It will be clear This characteristic for washing material layer 32 is known as configuration of surface variation.Therefore, the surface profile of target object 34 is flexible and alterable , and when being contacted with target object 34, due to the particle 36 on target object 34, the surface profile of target object is usually not It is smooth.As the configuration of surface of cleaning material layer 32 changes, the surface profile of the cleaning material layer 32 is issued in pressure 38 Changing (for example, stretch and deformation) so that tacky surfaces are locally around each particle, thus make particle and tacky surfaces it Between contact area maximize.Therefore, the adhesion strength of particle (adsorption strength of particle and tacky surfaces) is maximized.Particle with The absorption of tacky surfaces can carry out excellent by adjusting the viscosity of the pressure 38 of application, duration of contact and cleaning material layer 32 Change.
With reference to Fig. 4 C, then cleaning material layer 32 is separated with target object 34.Due to Van der Waals (Van Der Waal) power or coulomb (Coulomb) power, particle 36 are removed from target object 34.Can by lift-off (liftoff) technique 40 come Realize separation.
Fig. 5 shows the schematic diagram of the cleaning structure 42 according to the building of another embodiment.Cleaning structure 42 includes having Generate the electrostatic structure of the mechanism of electrostatic force.When cleaning structure 42 is close to target object 34, particle 36 is by electrostatic force from mesh Mark object 34 is attached to electrostatic layer.In this example, cleaning structure 42 includes the electrostatic driven for generating the electric current of electrostatic force Mechanism.In an example for explanation, cleaning structure 42 may include conductive component, which is connected to power supply and will It is designed as generating electric field in distribution with the particle 36 on effectively adsorbed target object 34.
Fig. 6 A shows the schematic diagram of the cleaning structure 44 according to the building of another embodiment.Cleaning structure 44 includes having Roller 46 that is cylindrical and operationally rolling.Roller 46 has the cohesive material formed on the surface, thus when in target object 34 Particle is adsorbed when upper rolling.Cleaning structure 44 further includes integrated with roller 46 and is able to carry out the various operations of roller 46 (such as, shifting It is dynamic and roll) handle 48.
Fig. 6 B shows the schematic diagram of the cleaning process carried out by cleaning structure 44 according to an example.In cleaning process Period, by having the roller 46 of friction and adhesion strength to remove particle.
Fig. 7 A shows the schematic diagram of the cleaning module 50 according to the building of another embodiment.Cleaning module 50 includes cleaning Structure 28 (such as, cleaning material layer 32 or cleaning structure 42), and can also include carrier substrates to provide mechanical strength.Clearly Mold cleaning block 50 further includes the operating mechanism 30 of such as manipulator, with fixation, transfer and mobile cleaning structure 28 to be cleaned Operation.Operating mechanism 30 is further secured to the component 52 of the lithography system 10 with suitable configurations, to realize cleaning behaviour Make.As shown in Figure 7 B, in another example, target object 34 is the mask 18 being fixed in mask platform 16.
Fig. 8 A shows the schematic diagram of a part of the lithography system 10 according to the building of some embodiments.Lithography system 10 wraps Mask platform 16 and chamber 56 are included, chamber 56 has the enclosure space for being designed as accommodating various assemblies and component.
In the present embodiment, chamber 56 includes mask library 58 to accommodate each mask.Mask library 58 can also accommodate one Or multiple cleaning structures 28, such as it is designed as the cleaning structure of cleaning mask platform 16.As described above, for cleaning mask platform 16 Cleaning structure has the shape and size similar to mask 18, and can be contained in mask library 58.Chamber 56 includes such as machine The mask executor 60 of tool hand is designed to fixed and transfer mask.Chamber 56 further includes being configured to close to 58 He of mask library The cleaning module 62 of mask executor 60.For example, cleaning module 62 is designed as to clean one or more masks.
Lithography system 10 includes design and is configured to the load lock 64 that mask is transferred to and is transferred out of from lithography system. Lithography system 10 may include being embedded in load lock 64 or another manipulator for integrating with load lock 64 for mask (or to cover Mold container) transfer.The manipulator works in atmospheric environment.
Back to cleaning module 62.Cleaning module 62 can be designed to have corresponding wiper mechanism, it is such as above-mentioned One of them in those, including cleaning material layer, roller and electrostatic cleaning structure.
In one embodiment, cleaning module 62 can be operated to be transferred to the mask for photolithographic exposure technique in mask Mask is cleaned after being transferred out of before platform 16 or from mask platform 16.
In another embodiment, during idle, by the cleaning structure 28 that is contained in mask library 58 or optional Cleaning module 62 cleans mask platform 16.In an example, the cleaning structure 28 being contained in mask library 58 is used to clear Wash mask platform 16.In further example, cleaning structure 28 is transferred to close to mask platform 16 or is fixed in mask platform 16.So Afterwards, cleaning process is implemented to clean mask platform 16 by cleaning structure 28.Cleaning structure 28 is transferred to mask from mask library 58 Then platform 16 is transferred back to mask library from mask platform 16 to be similar between mask platform and mask library in a manner of transfer mask 58.In various embodiments, machine relevant to the operating mechanism 30 of mask platform 16, mask executor 60 or cleaning module 62 can be passed through Tool hand shifts cleaning structure 28.
Fig. 8 B is the schematic diagram according to the part lithography system 10 of some other embodiments building.Lithography system in Fig. 8 B 10 include manipulator chamber 56.Manipulator chamber 56 further includes mask library 58, mask executor 60 and cleaning module 62.
It is configured to mask library 58 to accommodate one or more masks 18 and is designed to the cleaning knot of cleaning mask platform 16 Structure 66.Cleaning structure 66 have mask shape and size, so as to close to and be extraly fixed in mask platform 16 with Suitably cleaned.In addition, cleaning structure 66 has sticky means, one kind shown in such as Fig. 4 A to Fig. 4 C.In a reality In example, cleaning structure 66 includes the mask substrate covered by the cleaning material layer with tacky surfaces.Correspondingly, it can cover One or more cleaning structures 66 are accommodated in mould library 58.
One embodiment describes the operation that mask platform 16 is cleaned by cleaning structure 66.In the idle period of mask platform 16, Cleaning structure 66 is transferred to mask platform 16 from mask library by manipulator 68, the transfer similar to mask from mask library to mask platform. In an example, push cleaning structure 66 to be in contact with mask platform 16.By being similar to mistake described in Fig. 4 A to Fig. 4 C Cheng Qingxi mask platform 16.In another embodiment, cleaning structure 66 is fixed on mask platform in a manner of being similar to permanent mask On 16.In this example, mask platform 16 is electrostatic chuck, is designed to through the fixed cleaning structure 66 of electrostatic force.By covering The chucking power that die station 16 is applied to cleaning structure 66 ensures the appropriate contact between mask platform 16 and cleaning structure 66.It is tied in cleaning Structure 66 is fixed on period in mask platform 16, tacky surfaces of the particle absorption in mask platform 16 in cleaning structure 66.Later, machine Tool hand 68 removes cleaning structure 66 from mask platform 16, sticky table of the particle absorption in mask platform 16 in cleaning structure 66 Face, and remove and wash from mask platform 16.Then cleaning structure 66 sends mask library 58 back to by manipulator 68.
Mask executor 60 is designed as transfer mask, mask is such as transferred to mask library 56 from load lock.Mask behaviour Vertical device 60 may include the mechanical arm for movement and the component for holding mask.
Cleaning module 62 is designed as cleaning mask.Cleaning module 62 is an example of the cleaning module 26 in Fig. 2, and Including integrated cleaning structure 28 and operating mechanism 30 (such as manipulator) to realize the cleaning operation to mask 18.In a reality It applies in example, cleaning module 62 further includes another mask platform 69 for being configured for cleaning masks.In one embodiment, pass through Mask 18 is transferred to the mask platform 69 of cleaning module 62 by manipulator 60 from mask library 58.Mask 18 is fixed on cleaning module 62 In mask platform 69.Then, cleaning structure 28 is moved to the mask 18 being fixed in mask platform 69 by operating mechanism 30.Cleaning process Similar to one in wiper mechanism described above, cleaning process described in such as Fig. 4 A to Fig. 4 C.Cleaning operation it Afterwards, mask library 58 back to can be sent mask 18 by manipulator 60.
Fig. 9 is the photolithographic exposure work including cleaning masks implemented by lithography system 10 constructed according to some embodiments The flow chart of the method 70 of skill.Method 70 is described with reference to Fig. 8 B, Fig. 9 and other relevant drawings.The other embodiments of method 70 It may include more or fewer operations.Method 70 includes that mask 18 is transferred to the operation 72 of reticle container from external environment, Double pod reticle containers 90 (dual pod mask container) shown in the schematic diagram of such as Figure 10.Double pod reticle containers 90 include the interior pod 92 and outer pod 94 for being configured to storage mask 18.
Method 70 includes that mask 18 is transferred to the operation 74 of lithography system 10.In the present embodiment, operation 74 includes inciting somebody to action The mask 18 being contained in reticle container is placed into the load lock 64 of lithography system 10, and mask 18 is transferred to mask library 58.During operation, from mask 18 go except pod 94 and interior pod 92.After operation 74, mask 18 is stored in mask library 58.
Method 70 includes that the operation of mask 18 is cleaned by cleaning module 26 (cleaning module 62 in such as the present embodiment) 76.In an example, mask 18 is transferred out of from mask library 58;It is cleaned by cleaning module 62;It is transferred back to mask later Library 58.In another example, mask 18 is transferred out of from mask library 58;It is cleaned by cleaning module 62;It is transferred to mask platform later 16 to be used for photolithographic exposure technique.In this case, following operation 78 is eliminated.
Method 70 may include that mask 18 is fixed to the operation 78 of mask platform 16.For example, manipulator 60 can be by mask 18 are transferred to mask platform 16 from mask library 58;Mask 18 is fixed on mask platform by the suitable clamp system of such as electrostatic force On 16.
Method 70 includes implementing the operation 80 of photolithographic exposure technique by the lithography system 10 with mask 18.Photolithographic exposure work Skill can also include mask registration, covering inspection and be exposed by the light (such as EUV light) from radiation source 12.Exposure is coated on Photoresist layer on object 22 (it is fixed on substrate table 24) is with the potential pattern of the formation IC pattern on photoresist layer.
Method 70 includes that the operation 82 of mask 18 is cleaned by cleaning module 62.In an example, as shown in Figure 8 B, when When mask 18 is fixed in mask platform 69, cleaning module 62 implements cleaning process to mask 18.In other embodiments, Ke Yigen It is eliminated in operation 76 and 82 according to respective situation (criticality including level of pollution and the IC pattern being limited on mask 18) One.
Method 70 includes the operation 84 that mask 18 is transferred back to mask library 58 after the cleaning process of operation 82.Each In a embodiment, can during technique repetitive operation 76 to operation 84 to pattern each object.In an example, it covers Mould 18 repeats experience operation 76 to 84 to pattern multiple semiconductor crystal wafers (a collection of wafer in this example).In another reality In example, the first mask carries out operation 76 to 84 to first wafer;The experience operation 76 to 84 of second mask is to pattern second batch Wafer etc..
Figure 11 is the flow chart according to the method 100 of the implementation cleaning process of some other embodiments building.Method 100 is opened Operation 102 is started from, mask 18 is stored in reticle container, double pod reticle containers 90 in such as Figure 10.
Method 100 includes implementing the operation 104 of mask detection to mask 18.In one embodiment, mask detection includes Check the front and back of mask 18.Mask inspection systems (such as with the measuring tool of light scattering means) are for checking mask Whether there is or not particles.In one embodiment, previous inspection data can be used as a reference for.For example, by the inspection of faultless mask 18 Data are used as reference.Check that the comparison between data and reference data will provide particle information, such as particle position and size.? In one example, the mask 18 in reticle container is loaded into mask inspection systems, it is checked and is unloaded.
In operation 106, according to specific standard evaluation test as a result, quality index such as relevant to lithography system, The lithography system is used to implement photolithographic exposure technique using mask 18.There is provided relevant to lithography system exemplary in nature figureofmerit with For illustrating.The exemplary in nature figureofmerit includes: that number of particles of the size greater than 50 microns is 0;Size is greater than 10 microns of grain Subnumber amount is less than 35;And number of particles of the size greater than 3 microns is less than 70.Here number is counted with each mask.
If the result checked has exceeded quality index, method 100 proceeds to operation 108, implements scavenger to mask 18 Skill.Cleaning process removes particle by suitable mechanism (one kind shown in such as Fig. 4 A to Fig. 4 C) using cleaning module 26. Hereafter, mask 18 checks another mask back to operation 104.In the present embodiment, cleaning module 26 is standalone module, so that Implement cleaning process before mask is loaded into lithography system 10.
In operation 106, when inspection result is be evaluated as in quality index, method 100 proceeds to operation 110, will Mask 18 is placed back into reticle container.By each operation of implementation method 100, pollution will be reduced and ensure mask quality The reticle container that is maintained at of mask 18 in.
Method 100 can also include operation 112, and mask 18 is loaded into lithography system and using mask 18 to one or more A wafer implements photolithographic exposure technique.In the present embodiment, lithography system is such as Fig. 1 and lithography system shown in Fig. 8 10.? In one example, operation 112 includes that the mask 18 that will be received in reticle container is placed in the load lock 64 of lithography system 10, Mask 18 is transferred in mask platform 16, and implements photolithographic exposure technique and is partly led so that the IC pattern of mask 18 to be imaged onto be coated on Photoresist layer on body wafer.In another example, it is possible to implement the process including the operation 74 to 84 in method 70 is to utilize Mask 18 implements one or more exposure technologies.
Figure 12 is the process according to the method 120 for cleaning mask platform 16 by cleaning structure 66 of some embodiments building Figure.Method 120 is described with reference to Figure 12, Fig. 8 B and other accompanying drawings.Method 120 starts from operation 122, stores in mask library 58 Cleaning structure 66.Method 120 proceeds to operation 124, and cleaning structure 66 is fixed to mask platform 16.Operation 124 further includes inciting somebody to action Before cleaning structure 66 is fixed in mask platform 16, cleaning structure 66 is transferred to mask platform 16 from mask library 58.Method 120 is also Including operation 126 to clean mask platform 16 by cleaning structure 66.During cleaning operation 126, implement suitable pressure and clear Wash the duration.Keep the pressure between mask platform 16 and cleaning structure 66 to ensure particle contact and be attached to cleaning structure 66 Tacky surfaces.The cleaning duration is adjusted to the tacky surfaces for being enough to make particle to be attached to cleaning structure 66.Method 120 is also It may include that cleaning structure 66 is transferred back to mask library 58.
Figure 13 is the stream according to the method 130 for cleaning mask platform 16 by cleaning structure 66 of some other embodiments building Cheng Tu.Method 130 is described with reference to Figure 13, Fig. 8 B and other accompanying drawings.Method 130 starts from operation 132, and cleaning structure 66 is deposited Storage is in mask library 58.Method 130 proceeds to operation 134, and cleaning structure 66 is transferred to mask platform 16 from mask library 58.Method 130 further include operation 136 to clean mask platform 16 by cleaning structure 66.In one embodiment, cleaning structure 66 is applied Suitable pressure, to ensure the contact between mask platform 16 and cleaning structure 66.In another embodiment, 66 benefit of cleaning structure With electrostatic, mechanism is cleaned, and cleaning structure 66 can not directly be contacted close to mask platform 16 with mask platform 16.Method 130 It can also include that cleaning structure 66 is transferred back to mask library 58.
Describe the lithography system with embedded cleaning module and using lithography system in various embodiments to clean The method of mask and/or mask platform.Cleaning module includes that cleaning structure and operating mechanism are cleaned with operating cleaning structure.? In one embodiment, cleaning module provides operation tacky surfaces with the adsorbing mechanism on the surface of contact mask (or mask platform), To from mask (or mask platform) adsorption of nanoparticles or macroparticle.In another embodiment, cleaning module includes electricity The electrostatic mechanism of driving is flowed to clean mask (or mask platform).In yet another embodiment, cleaning structure includes being attached with cleaning The mask substrate (being optionally the plate for being shaped and sized similarly to mask) of material layer, so as to appropriate as processing mask Ground operates cleaning structure to clean mask platform.
Can occur other embodiments or optional embodiment in the case of without departing from the present invention.In one embodiment In, lithography system 10 includes the two or more cleaning modules of insertion in a lithography system: be designed as cleaning mask first is clear Second cleaning module of mold cleaning block and the mask platform for being designed as cleaning lithography system.In a further embodiment, the first cleaning Module includes: the first cleaning structure and fixation and the operating mechanism for operating the first cleaning structure.Second cleaning module includes: second Cleaning structure, second cleaning structure further include carrier substrates and the sorbing material layer for being attached to carrier substrates.In addition, carrier serves as a contrast Bottom has the shape and size of mask, to enable cleaning structure close to mask platform or be fixed in mask platform to be cleaned Operation.In another embodiment, cleaning module 26 optionally can be independent, used in the method 100 of such as Figure 11 Cleaning module.
Various advantages may be present in the different embodiments of one or more of the invention.In various embodiments, advantage packet At low cost, mask front pattern-free is included to damage, be effectively removed particle, be easy to operate, being embedded in scanner, compared to other Conventional method has the ability of good removal nanometer particle.Compared to wet cleaning process, this method makes it possible to accurately The cleaning site on mask is controlled, therefore, can avoid unnecessary cleaning site (as having figuratum front) to eliminate damage. In addition, the optimization modification using tacky surfaces can adjust cleaning structure and cleaning method as efficiently as possible.
Therefore, in some embodiments, the present invention provides a kind of lithography systems.The lithography system includes: to be configured to make Implement the exposure module of photolithographic exposure technique with the mask being fixed in mask platform;And it is integrated in exposure module and designs To use adsorbing mechanism to clean the cleaning module of at least one of mask and mask platform.
In other embodiments, the present invention provides a kind of lithography systems.The lithography system includes: to be designed as implementing photoetching The exposure module of exposure technology and configuration in the closed chamber being maintained in vacuum environment;And integrated with exposure module Cleaning module.Cleaning module includes having adsorbing mechanism to remove the cleaning structure of particle and be designed as fixed and transfer cleaning knot The operating mechanism of structure.
The present invention provides a kind of methods, this method comprises: loading mask to being designed as implementing photolithographic exposure technique In lithography system, which is embedded with the cleaning module with adsorbing mechanism;Mask is fixed to mask platform;Pass through photoetching System implements photolithographic exposure technique to semiconductor crystal wafer using mask;And mask is cleaned by cleaning module.
Foregoing has outlined the features of multiple embodiments, so that the present invention may be better understood in those of ordinary skill in the art Various aspects.It will be understood by those skilled in the art that can easily using based on the present invention designing or Other are modified for executing identical purpose with embodiments described herein and/or realizes the process and structure of same advantage. Those of ordinary skill in the art should also be appreciated that this equivalent constructions without departing from the spirit and scope of the present invention, and In the case where the spirit and scope of the present invention, they can make a variety of variations to the present invention, replace and change.

Claims (12)

1. a kind of lithography system, comprising:
Exposure module is configured so that the mask being fixed in mask platform implements photolithographic exposure technique;And
Cleaning module is integrated in the exposure module, and the cleaning module is designed to be used in adsorbing mechanism Cleaning structure cleans at least one of the mask and the mask platform;
It wherein, include carrier substrates and the absorption object for being attached to the carrier substrates, the absorption pair in the cleaning structure As including being selected from by adhesive tape, polysaccharide, the polyvinyl alcohol (PVA) with-OH key and high chemical polarity and there is surfactant Natural emulsion composition group in cohesive material and the absorption object be designed to its surface profile in response to target pair The surface profile with local convex of elephant and change and substantially complementary with the surface profile of the target object;And
The carrier substrates are that have the mask substrate of the shape and size of the mask.
2. lithography system according to claim 1, wherein the cleaning module includes: with the clear of the adsorbing mechanism It washes structure and is designed as fixing and operating the operating mechanism of the cleaning structure.
3. lithography system according to claim 1, wherein the cleaning module includes:
Object is adsorbed, is designed as cleaning the mask;And
Mask control member is designed as the absorption object being moved to the mask, and the mask control member can be grasped Make to apply pressure to the absorption object.
4. lithography system according to claim 1 further includes chamber, the chamber includes:
Mask library is designed as accommodating multiple masks;
Mask executor is designed as fixing and shifting one in the multiple mask;And
The cleaning module, configuration is in the cavity.
5. lithography system according to claim 4, in which:
The exposure module includes far ultraviolet (EUV) light source to generate during the photolithographic exposure technique for exposure semiconductor The extreme ultraviolet light of wafer;
The mask platform is electrostatic chuck by the fixed mask of electrostatic force;And
The mask is reflection mask.
6. lithography system according to claim 5, in which:
The mask library is accessible to accommodate the cleaning structure, and the cleaning structure is designed to clean the mask Platform;
The cleaning structure has the shape and size of the mask;And
The mask platform is capable of fixing the cleaning structure for cleaning the mask platform.
7. a kind of lithography system, comprising:
Exposure module is designed as implementing photolithographic exposure technique, and the exposure module is configured in and is maintained in vacuum environment Closed chamber in;And
Cleaning module, it is integrated with the exposure module, wherein the cleaning module includes having adsorbing mechanism to remove particle It cleaning structure and is designed as fixing and shifting the operating mechanism of the cleaning structure;
It wherein, include carrier substrates and the absorption object for being attached to the carrier substrates, the absorption pair in the cleaning structure As including being selected from by adhesive tape, polysaccharide, the polyvinyl alcohol (PVA) with-OH key and high chemical polarity and there is surfactant Natural emulsion composition group in cohesive material and the absorption object be designed to its surface profile in response to target pair The surface profile with local convex of elephant and change and substantially complementary with the surface profile of the target object;And
The carrier substrates have the shape and size of mask.
8. lithography system according to claim 7, in which:
The exposure module includes far ultraviolet (EUV) light source to generate extreme ultraviolet light;
Mask platform is electrostatic chuck, to pass through electrostatic force permanent mask;And
The mask is reflection mask.
9. lithography system according to claim 7 further includes chamber, the chamber has the cleaning being embedded in Module, wherein the chamber further include:
Mask library is designed as accommodating multiple masks;And
Mask executor is designed as shifting for mask.
10. a kind of cleaning method in photoetching process, comprising:
Mask is loaded to the lithography system for being designed as implementing photolithographic exposure technique, the lithography system, which is embedded with, has absorption The cleaning module of mechanism, wherein the cleaning module includes cleaning structure, and in the cleaning structure include carrier substrates and It is attached to the absorption object of the carrier substrates, the absorption object includes being selected from by adhesive tape, polysaccharide, having-OH key and heightization Learn the cohesive material in the group of polar polyvinyl alcohol (PVA) and the natural emulsion composition with surfactant and institute It states absorption object and is designed to its surface profile and change in response to the surface profile with local convex of target object and base It is complementary with the surface profile of the target object in sheet, and, the carrier substrates have the shape and size of the mask;
The mask is fixed to mask platform;
Photolithographic exposure technique is implemented to semiconductor crystal wafer using the mask by the lithography system;And
The mask is cleaned by the cleaning module.
11. the cleaning method according to claim 10 in photoetching process, further include after cleaning the mask, The mask is transferred to mask library.
12. the cleaning method according to claim 10 in photoetching process, further includes using the cleaning module Cleaning structure cleans the mask platform.
CN201811222288.1A 2013-03-15 2014-03-07 Lithography system with embedded cleaning module Pending CN109375471A (en)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US201361793838P 2013-03-15 2013-03-15
US61/793,838 2013-03-15
US14/168,114 2014-01-30
US14/168,114 US10459353B2 (en) 2013-03-15 2014-01-30 Lithography system with an embedded cleaning module
CN201410084248.0A CN104049469A (en) 2013-03-15 2014-03-07 Lithography System with an Embedded Cleaning Module

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
CN201410084248.0A Division CN104049469A (en) 2013-03-15 2014-03-07 Lithography System with an Embedded Cleaning Module

Publications (1)

Publication Number Publication Date
CN109375471A true CN109375471A (en) 2019-02-22

Family

ID=51419015

Family Applications (2)

Application Number Title Priority Date Filing Date
CN201811222288.1A Pending CN109375471A (en) 2013-03-15 2014-03-07 Lithography system with embedded cleaning module
CN201410084248.0A Pending CN104049469A (en) 2013-03-15 2014-03-07 Lithography System with an Embedded Cleaning Module

Family Applications After (1)

Application Number Title Priority Date Filing Date
CN201410084248.0A Pending CN104049469A (en) 2013-03-15 2014-03-07 Lithography System with an Embedded Cleaning Module

Country Status (2)

Country Link
CN (2) CN109375471A (en)
DE (2) DE102014102651B4 (en)

Families Citing this family (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE102015204521A1 (en) * 2015-03-12 2016-10-27 Carl Zeiss Smt Gmbh Cleaning device for an EUV lithography system, EUV lithography system and cleaning method
CN109426085A (en) * 2017-08-25 2019-03-05 台湾积体电路制造股份有限公司 For cleaning the device and method of the collecting lens of lithographic equipment
TWI639886B (en) * 2017-10-23 2018-11-01 Powerchip Technology Corporation Method for maintaining reticle stage
US10684559B2 (en) * 2017-11-20 2020-06-16 Taiwan Semiconductor Manufacturing Co., Ltd. Apparatus and method for cleaning reticle stage

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20110061981A (en) * 2009-12-02 2011-06-10 주식회사 하이닉스반도체 Method for cleaning the photomask
TW201140672A (en) * 2010-03-12 2011-11-16 Sony Chemical & Inf Device Dust removing material and dust removal method using same
US20120024318A1 (en) * 2010-07-28 2012-02-02 Masamitsu Itoh Reticle chuck cleaner
CN202649668U (en) * 2012-06-19 2013-01-02 京东方科技集团股份有限公司 Automatic mask cleaning system and exposure equipment
WO2013035415A1 (en) * 2011-09-05 2013-03-14 株式会社 東芝 Reticle chuck cleaner and reticle chuck cleaning method

Family Cites Families (15)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4744833A (en) * 1987-06-11 1988-05-17 International Business Machines Corporation Electrostatic removal of contaminants
TW285721B (en) * 1994-12-27 1996-09-11 Siemens Ag
JP2002028596A (en) * 2000-07-12 2002-01-29 Nitto Denko Corp Dust remover
JP2002139825A (en) 2000-11-02 2002-05-17 Ibiden Co Ltd Method and device for cleaning mask for exposure
EP1329770A1 (en) 2002-01-18 2003-07-23 ASML Netherlands B.V. Lithographic apparatus and device manufacturing method
JP4418325B2 (en) * 2004-08-02 2010-02-17 富士通マイクロエレクトロニクス株式会社 XY stage and semiconductor device manufacturing equipment
JP2007212765A (en) * 2006-02-09 2007-08-23 Nsk Ltd Manual cleaner and cleaner device of proximity exposure apparatus
US20070227565A1 (en) * 2006-03-29 2007-10-04 Taiwan Semiconductor Manufacturing Co., Ltd. Workstation and cleaning apparatus thereof
CN101432489B (en) * 2007-02-15 2012-01-11 丁晓跃 Full-function frame structure building prefabrication system, prefabricated building structure and its assembly method
JP2008216433A (en) * 2007-03-01 2008-09-18 Adtec Engineeng Co Ltd Exposure device
US20090183322A1 (en) 2008-01-17 2009-07-23 Banqiu Wu Electrostatic surface cleaning
JP5329387B2 (en) * 2009-12-25 2013-10-30 株式会社東芝 Cleaning reticle, reticle stage cleaning method, and semiconductor device manufacturing method
TWI450324B (en) 2010-01-25 2014-08-21 Gudeng Prec Ind Co Ltd Reticle clean process for a lithography tool and a clean system thereof
CN102338987A (en) * 2010-07-16 2012-02-01 中芯国际集成电路制造(上海)有限公司 Lithographic device
JP5678671B2 (en) * 2011-01-07 2015-03-04 富士通セミコンダクター株式会社 Cleaning method and cleaning device

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20110061981A (en) * 2009-12-02 2011-06-10 주식회사 하이닉스반도체 Method for cleaning the photomask
TW201140672A (en) * 2010-03-12 2011-11-16 Sony Chemical & Inf Device Dust removing material and dust removal method using same
US20120024318A1 (en) * 2010-07-28 2012-02-02 Masamitsu Itoh Reticle chuck cleaner
WO2013035415A1 (en) * 2011-09-05 2013-03-14 株式会社 東芝 Reticle chuck cleaner and reticle chuck cleaning method
CN202649668U (en) * 2012-06-19 2013-01-02 京东方科技集团股份有限公司 Automatic mask cleaning system and exposure equipment

Also Published As

Publication number Publication date
DE102014020027B3 (en) 2023-03-09
DE102014102651A1 (en) 2014-09-18
CN104049469A (en) 2014-09-17
DE102014102651B4 (en) 2020-12-17

Similar Documents

Publication Publication Date Title
US11378894B2 (en) Lithography system with an embedded cleaning module
US11681226B2 (en) Metal-compound-removing solvent and method in lithography
US11921434B2 (en) Mask cleaning
US10622211B2 (en) Metal-compound-removing solvent and method in lithography
KR102307023B1 (en) A substrate, a substrate holder, a substrate coating apparatus, a method for coating the substrate and a method for removing the coating
JP2005057294A (en) Interface unit, lithographic projector equipped with interface, and method of manufacturing device
JP2007180549A (en) Lithography device and method
JP5507429B2 (en) Method for forming a lyophobic coating on a surface
US20080264441A1 (en) Method for removing residuals from photomask
US11287754B2 (en) Mask blank for lithography and method of manufacturing the same
JPWO2008007521A1 (en) Reticle holding member, reticle stage, exposure apparatus, projection exposure method, and device manufacturing method
JP2004006784A (en) Transfer method for mask or substrate, storage box adapted for use in such method, device or apparatus and device manufacturing method comprising such method
TWI655509B (en) A manufacturing method, a lithography material and a fabrication system of the semiconductor device
CN109375471A (en) Lithography system with embedded cleaning module
EP1978545A1 (en) Reticle carrier, exposure device, reticle carrying method, reticle processing method, device manufacturing method and reticle cover managing method
US20060197935A1 (en) Processing unit, exposure apparatus having the processing unit, and protection unit
JPWO2008129982A1 (en) Substrate processing method and system, and device manufacturing method
KR20070039910A (en) Mask blank, manufacturing method thereof and transfer plate manufacturing method
US20050095829A1 (en) Housing unit and exposure method using the same
Hamaya et al. High volume semiconductor manufacturing using nanoimprint lithography
JP2007329288A (en) Exposure apparatus, and device manufacturing method
TWI703403B (en) Mask, method for forming the same, and method for using the same
JP2015018918A (en) Reflection type mask, exposure method, and method of manufacturing device
JPH1098090A (en) Substrate holding device and exposure system
TW201918802A (en) Pellicle replacement in EUV mask flow

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination