CN109214023A - 一种工艺设计工具包的测试方法及装置 - Google Patents

一种工艺设计工具包的测试方法及装置 Download PDF

Info

Publication number
CN109214023A
CN109214023A CN201710542541.0A CN201710542541A CN109214023A CN 109214023 A CN109214023 A CN 109214023A CN 201710542541 A CN201710542541 A CN 201710542541A CN 109214023 A CN109214023 A CN 109214023A
Authority
CN
China
Prior art keywords
test pattern
design
parameter
pattern library
parameterized units
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CN201710542541.0A
Other languages
English (en)
Other versions
CN109214023B (zh
Inventor
尹明会
陈岚
张卫华
周欢欢
王晨
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Institute of Microelectronics of CAS
Original Assignee
Institute of Microelectronics of CAS
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Institute of Microelectronics of CAS filed Critical Institute of Microelectronics of CAS
Priority to CN201710542541.0A priority Critical patent/CN109214023B/zh
Publication of CN109214023A publication Critical patent/CN109214023A/zh
Application granted granted Critical
Publication of CN109214023B publication Critical patent/CN109214023B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/30Circuit design
    • G06F30/39Circuit design at the physical level
    • G06F30/398Design verification or optimisation, e.g. using design rule check [DRC], layout versus schematics [LVS] or finite element methods [FEM]

Landscapes

  • Engineering & Computer Science (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Theoretical Computer Science (AREA)
  • Evolutionary Computation (AREA)
  • Geometry (AREA)
  • General Engineering & Computer Science (AREA)
  • General Physics & Mathematics (AREA)
  • Design And Manufacture Of Integrated Circuits (AREA)

Abstract

本发明实施例公开了一种工艺设计工具包的测试方法及装置,首先通过正则匹配的方式,与预设信息比对验证工艺设计工具包的基本信息,而后,通过对参数化单元和物理设计规则分别生成测试图案库,并分别进行批量验证,最后,进行设计流程验证。这样,在保证工艺设计工具包的基本信息的正确性之后,通过对测试向量进行批量验证,保证参数化单元验证的高覆盖率和高效率,进而,完成电路设计的全流程,从全流程中的输出结果,可以验证PDK组件完整性、PDK与电路设计中的设计工具的衔接性以及PDK的电特性,从而实现基于设计流程的验证,充分保证了PDK测试的完整性和覆盖率。

Description

一种工艺设计工具包的测试方法及装置
技术领域
本发明涉及集成电路设计领域,尤其涉及一种工艺设计工具包的测试方法及装置。
背景技术
工艺设计工具包(Process Design Kit,PDK)是基于集成电路生产工艺而开发的一整套包括器件信息、工艺信息和验证文件的设计数据包。PDK广泛应用于集成电路设计的各个方面,如前端原理图设计、版图设计、物理验证以及电路仿真等,在模拟、射频以及混合信号等设计领域都有着广泛的应用。
PDK的组件主要包括器件符号(Symbol View)、网表生成文件、参数化单元(PCell)、技术文件(Technology file)、物理验证规则(Rule Decks)和寄生参数抽取文件(RCX),这些组件为集成电路设计提供完整的工艺文件集合,是连接集成电路(IC)设计和集成电路工艺的数据平台,也是工艺信息、集成电路设计方法和EDA(Electronics DesignAutomation,电子设计自动化)技术的有形载体。
PDK是整个IC设计和工艺设计的基础,在PDK开发之后,必须进行充分的测试验证,保证PDK的完整性和准确性,是保证芯片设计和流片成功的关键。随着IC工艺节点的不断推进,尤其是在进入纳米制程之后,PDK各组件包的内容越来越复杂,例如,在40nm工艺节点,一个PCell器件的CDF(Component Description Format)参数就达到上百个,物理设计规则更是有上千条。而目前,PDK的测试验证主要是通过PDK开发工程师的手工验证来完成,效率低且难以保证验证的覆盖率和完整性。
发明内容
本发明提供了一种工艺设计工具包的测试方法及装置,保证测试的覆盖率和完整性。
一种工艺设计工具包的测试方法,包括:
通过正则匹配的方式,根据预设源信息,比对验证工艺设计工具包的基本信息;
分别生成参数化单元和物理设计规则的测试图案库,并通过对测试图案库的批量测试,分别实现参数化单元和物理设计规则的验证;
基于工艺设计工具包,进行设计流程验证。
可选地,所述预设源信息包括制造工艺信息、SPICE器件模型和PDK设计规范;根据预设信息,比对验证工艺设计工具包的基本信息,包括:
根据制造工艺信息,比对验证器件名称及端点、器件视图和版图图层的基本信息;
根据SPICE器件模型,比对验证器件仿真参数和网表的基本信息;
根据PDK设计规范,对比验证器件的CDF参数和器件的回调函数的基本信息。
可选地,生成参数化单元的测试图案库,并通过对测试图案库的批量测试实现参数化单元的验证,包括:
将参数化单元中的各CDF参数进行参数实例化,使得各CDF参数具有实例化的参数值;
根据各CDF参数的实例化的参数值,生成具有不同实例化的参数值组合的参数化单元的测试图案库,参数化单元的测试图案库中的测试图案为符号图和/或版图;
对参数化单元的测试图案库进行批量测试。
可选地,根据各CDF参数的实例化的参数值,生成具有不同实例化的参数值组合的参数化单元的测试图案库,包括:
将各CDF参数按照实例化的参数值进行排列组合,生成具有不同实例化的参数值组合的参数化单元的测试图案库。
可选地,生成物理设计规则的测试图案库,并通过对测试图案库的批量测试实现物理设计规则的验证,包括:
分别以物理设计规则的设定值、大于设定值和小于设定值,生成物理设计规则的三种测试图案,以获得物理设计规则的测试图案库;
对物理设计规则的测试图案库批量测试。
可选地,基于工艺设计工具包,进行设计流程验证,包括:
将基准电路库进行参数化单元的映射;
基于映射后的基准电路库和工艺设计工具包,完成电路设计的全流程;
根据全流程中的各输出结果,获得PDK组件完整性、PDK与电路设计中的设计工具的衔接性以及PDK中器件的电特性的分析结果。
此外,本发明还提供了工艺设计工具包的测试装置,包括:
基本信息验证模块,用于通过正则匹配的方式,根据预设源信息,比对验证工艺设计工具包的基本信息;
参数化单元验证模块,用于生成参数化单元的测试图案库,并通过对测试图案库的批量测试,实现参数化单元的验证;
设计规则验证模块,用于生成物理设计规则的测试图案库,并通过对测试图案库的批量测试,实现物理设计规则的验证;
设计流程验证模块,用于基于工艺设计工具包,进行设计流程验证。
可选地,所述参数化单元验证模块包括:
参数实例化单元,用于将参数化单元中的各CDF参数进行参数实例化,使得各CDF参数具有实例化的参数值;
第一测试图案库生成单元,用于根据各CDF参数的实例化的参数值,生成具有不同实例化的参数值组合的参数化单元的测试图案库,参数化单元的测试图案库中的测试图案为符号图和/或版图;
第一验证单元,用于对参数化单元的测试图案库进行验证。
可选地,所述设计规则验证模块包括:
第二测试图案库生成单元,用于分别以物理设计规则的设定值、大于设定值和小于设定值,生成物理设计规则的三种测试图案,以获得物理设计规则的测试图案库;
第二验证单元,用于对物理设计规则的测试图案库进行验证。
可选地,所述设计流程验证模块包括:
映射单元,用于将基准电路库进行参数化单元的映射;
设计单元,用于基于映射后的基准电路库和工艺设计工具包,完成电路设计的全流程;
分析单元,根据全流程中的各输出结果,获得PDK组件完整性、PDK与电路设计中的设计工具的衔接性以及PDK的电特性的分析结果。
本发明实施例提供的工艺设计工具包的测试方法及装置,首先,通过正则匹配的方式,与预设信息比对验证工艺设计工具包的基本信息,而后,通过对参数化单元和物理设计规则分别生成测试图案库,并分别进行批量验证,最后,进行设计流程验证。这样,在保证工艺设计工具包的基本信息的正确性之后,通过对测试向量进行批量验证,保证参数化单元验证的高覆盖率和高效率,进而,完成电路设计的全流程,从全流程中的输出结果,可以验证PDK组件完整性、PDK与电路设计中的设计工具的衔接性以及PDK的电特性,从而实现基于设计流程的验证,充分保证了PDK测试的完整性和覆盖率。
附图说明
为了更清楚地说明本申请实施例或现有技术中的技术方案,下面将对实施例或现有技术描述中所需要使用的附图作简单地介绍,显而易见地,下面描述中的附图仅仅是本申请中记载的一些实施例,对于本领域普通技术人员来讲,在不付出创造性劳动的前提下,还可以根据这些附图获得其它的附图。
图1为根据本发明实施例提供的工艺设计工具包的测试方法的流程示意图;
图2为根据本发明实施例提供的工艺设计工具包的测试方法中参数化单元验证的流程示意图;
图3为根据本发明实施例提供的工艺设计工具包的测试方法中物理设计规则验证的流程示意图;
图4为根据本发明实施例提供的工艺设计工具包的测试方法中生成物理设计规则测试图案的示意图;
图5为根据本发明实施例提供的工艺设计工具包的测试方法中设计流程验证的流程示意图;
图6为根据本发明实施例提供的工艺设计工具包的测试装置的结构示意图。
具体实施方式
为了使本技术领域的人员更好地理解本发明方案,下面将结合本发明实施例中的附图,对本发明实施例中的技术方案进行清楚、完整地描述,显然,所描述的实施例仅是本发明一部分实施例,而不是全部的实施例。基于本发明中的实施例,本领域普通技术人员在没有做出创造性劳动前提下所获得的所有其他实施例,都属于本发明保护的范围。
本发明提供了一种工艺设计工具包的测试方法,包括:将参数化单元中的各CDF参数进行参数实例化,使得各CDF参数具有实例化的参数值;根据各CDF参数的实例化的参数值,生成具有不同实例化的参数值组合的参数化单元的测试图案库,参数化单元的测试图案库中的测试图案为符号图和/或版图;对参数化单元的测试图案库进行验证。在该方法中,对每个参数化单元都可以生成多个测试向量,并进行批量验证,保证参数化单元验证的高覆盖率和高效率。
以下将结合附图,对本发明实施例进行详细的说明。
参考图1所示,在步骤S101,进行工艺设计工具包的基本信息的验证。
优选地,该步骤可以在其他验证之前进行,以首先确保工艺设计工具包的基本信息的正确性。
工艺设计工具包的基本信息是指名称、参数数值、数量和有效范围这些与基本表达相关的信息,在PDK设计时,这些信息都来源于设计标准相关的源信息,因此,可以通过正则匹配的方式,与源信息进行比对,来验证工艺设计工具包的基本信息。基本信息通常包括:器件名称、视图、端点、版图图层、器件模型参数、仿真网表、CDF参数和回调(callback)函数,这些是PDK工具包的组件中相关的单元的基本信息。
具体的,可以预先设置这些源信息,预设源信息可以包括制造工艺信息、SPICE器件模型和PDK设计规范。可以通过输入或调用已有文件的方式,获得这些预设源信息。
而后,根据制造工艺信息,比对验证器件名称及端点、器件视图和版图图层。
制造工艺信息一般来源于芯片制造厂,对于不同器件的制造工艺,芯片制造厂会提供相应的制造工艺信息。当PDK中的基本信息分别与和制造工艺信息中的基本信息完全匹配时,则这些信息为正确,否则,为错误。
其中,器件的端点的基本信息通常包括端点数量、端点名称、端点层名和层号等。器件视图通常包括符号视图、版图视图、网表视图和寄生提取视图等,器件视图的基本信息包括视图名称、视图参数以及视图一致性等这些信息。版图图层是版图视图中所用的图层,基本信息包括层名、层号以及层目标等。
根据SPICE器件模型,比对验证器件仿真参数和网表。
SPICE器件模型来源于芯片制造厂,对于不同器件的制造工艺,芯片制造厂会提供相应的SPICE器件模型。SPICE器件模型为基础器件的电路描述的模型,基础的器件包括二极管、晶体管、电阻、电容、电源等。通过这些SPICE器件模型,可以比对验证器件仿真参数和网表与SPICE器件模型文件中的参数是否相符。
根据PDK设计规范,对比验证器件的CDF参数和器件的回调函数。
PDK设计规范为PDK设计开发时依据的规范,通过与PDK设计规范的比对,可以验证CDF参数和器件的回调函数是否正确。CDF参数的基本信息包括参数名称、参数的有效范围、参数类型、参数是否对用户可见和参数是否可以编辑等。回调函数的基本信息包括函数的功能(function)以及函数的返回结果。
在比对之后,可以将整个的结果进行统计输出。
在步骤S102,参数化单元的验证。
具体的,参考图2所示,可以通过如下的步骤进行参数化单元的验证。
在步骤S1021,将参数化单元中的各CDF参数进行参数实例化,使得各CDF参数具有实例化的参数值。
在具体的应用中,可以按照集成电路设计行业现有的器件类型,将参数化单元分为以下几类:MOS器件、电阻器件、电容器件、三极管器件、二极管器件、可变电容或其他器件,每一类器件对应相应的CDF(Component Description Format,组件描述格式)参数。
在获得PDK中的参数化单元后,对其进行共性分析和分类,参照上述预设分类,将参数化单元归为其中的一类,按照预设的器件类型进行CDF参数的参数实例化,使得每个CDF参数都具有实例化的参数值,根据参数的不同,实例化的参数值可以为一个或多个。
为了更好地理解该步骤,以下以参数化单元为MOS器件为例进行参数实例化的说明,以下示例中,MOS器件的CDF参数包括沟道长度、沟道宽度、折叠栅数量、源漏交换、源漏连接金属宽度、栅连接、衬底接触、衬底接触间距,可以理解的是,此处仅是用于示例,MOS器件的CDF参数并不限于此。参见如下表一所示,为MOS器件的CDF参数对应的实例化的参数值。
表一
可以看到每个CDF参数都对应多个实例化的参数值,也就是具体的参数值,在对应数值的参数中,如沟道长度、沟道宽度等参数,可以采用默认值、最小值、最大值和特殊值这些参数值,对于非数值的参数,如栅连接、衬底接触等,可以采用多种连接或设置模式,可以理解的是,此处仅为示例,对应不同的CDF参数和具体的需要,可以具有不同参数实例化的方式。
在步骤S1022,根据各CDF参数的实例化的参数值,生成具有不同实例化的参数值组合的参数化单元的测试图案库,参数化单元的测试图案库中的测试图案为符号图和/或版图。
在对各CDF参数实例化之后,每个CDF参数都对应了一个或多个实例化的参数值,不同的实例化的参数值组合成一组CDF参数,利用每组CDF参数都分别生成测试图案,从而,可以生成参数化单元的测试图案库,测试图案可以为符号图和版图两类,相应地,测试图案库可以为符号类的测试图案库和版图累的测试图案库。
为了便于理解,以具体的示例进行说明。参见表一,MOS器件的CDF参数向量表达为(沟道长度,沟道宽度,折叠栅数量,源漏交换,源漏连接金属宽度,栅连接,衬底接触,衬底接触间距),各参数取一个实例化的参数值,则组成一组CDF参数,例如CDF参数向量具体为(默认值,默认值,默认值,交换,默认值,不连接,左接触,默认值),通过这组CDF参数,可以构建出测试图案,如版图或符号图中的一种或两种,更换参数值,则可以生成不同的CDF参数向量和相应的测试图案,从而,生成测试图案库。
更优选地,在生成测试图案库时,将各CDF参数按照实例化的参数值进行排列组合,生成具有不同实例化的参数值组合的参数化单元的测试图案库。通过排列组合的方式,可以自动生成大量的测试图案,且测试图案的数量与参数实例化的参数数量的乘积成正比。参见表一中的示例,若沟道长度、沟道宽度、折叠栅数量、源漏交换、源漏连接金属宽度、栅连接、衬底接触、衬底接触间距的实例化的参数值的数量分别为4、4、5、2、4、4、3、4、4,那么,产生的测试图案的数量为4*4*5*2*4*4*3*4*4=122880,自动产生大量的测试图案,可以有助于提高参数化单元验证的覆盖率。
在步骤S1023,对参数化单元的测试图案库进行验证。
对于不同类型的测试图案库可以采用不同的方法来进行验证。若为版图的测试图案库,可以采用DRC(Design Rule Check,设计规则检验)方式进行验证,若为符号图的测试图案库,可以采用仿真方式进行验证。验证时,可以批量地进行验证,提高验证的效率。
在步骤S103,物理设计规则的验证。
具体的,参考图3所示,可以通过如下的步骤进行物理设计规则的验证。
在步骤S1031,分别以物理设计规则的设定值、大于设定值和小于设定值,生成物理设计规则的三种测试图案,以获得物理设计规则的测试图案库。
可以从物理设计规则文件中获得PDK中的物理设计规则,通常地,物理设计规则包括宽度(Width)、间距(Spacing)、包围(Enclosure)、叠加(Overlap)、超出(Extension)、固定值(Fixed)、并行间距(Parallel Space)等,物理设计规则为固定的设定值,为设计时的约束值。
根据上述的设计规则的分类,生成各物理设计规则的测试图案,测试图案的参数值分别对应物理设计规则的设定值、大于设定值和小于设定值。更优选地,可以采用对称的方式确定设计规则的参数值,具体的,以设计规则的设定值为对称轴,以工艺最小格点值为对称距离,即大于设定值的值为设定值与工艺最小格点值之和,小于设定值的值为设定值与工艺最小格点值之差,从而,产生3个设计规则的参数值,用来生成三种测试图案,其中,工艺最小格点值为布图设计中的格点的单位值。
参考图4所示,为生成设计规则的测试图案的一个示例,在该示例中,设计规则包括宽度、间距、包围和重叠/超出,设计规则的设定值分别W、S、E、Ex,最小工艺格点为0.01,那么,相应地以对称方式产生了小于规则、等于设计规则和大于规则的三种测试图案,从而,可以生成物理设计规则的测试图案库,测试图案库中的测试图案为版图图案。
在步骤S1032,对物理设计规则的测试图案库进行验证。
可以采用DRC(Design Rule Check,设计规则检验)方式进行验证,验证时,可以批量地进行验证,提高验证的效率。
在步骤S104,进行电路设计全流程的验证。
在该步骤中,基于PDK中的组件,进行一个具体电路的设计,设计过程包括整个电路设计的全流程,包括原理图实现、电路前仿真、版图实现、物理验证、寄生参数提取和电路后仿真,在整个全流程过程中,每个步骤都会输出结果,通过对结果的分析,可以对PDK组件的完整性、与设计中的设计工具的衔接性和PDK的电特性的分析结果。
具体的,参考图5所示,可以通过如下的步骤进行电路设计全流程的验证。
具体的,使用PDK的组件进行具体电路的设计时,可以在EDA软件中进行,首先进行组件的读入,包括PCell单元库、工艺文件(Techfile)、物理验证文件、器件模型(Spicemodel)文件,物理验证文件包括DRC、LVS(Layout Versus Schematics)、XRC文件,在读入的同时,可以进行PDK数据的数据格式的形式验证,并输出验证结果的报告。
在步骤S1041,将基准电路库进行参数化单元的映射。
基准电路库为基准电路的集合,在具体设计时,首先,进行基准电路(benchmark)库中基准电路的映射,也就是将PDK中的参数化单元映射到基准电路中,以便进行后续的电路设计。基准电路库中包含了用于电路设计的典型电路,这些典型电路即为基准电路,例如可以包括反相器、与非门、电流镜等,还可以进一步包含PDK中的器件的并联电路网络。
在步骤S1042,基于映射后的基准电路库和PDK,完成电路设计的全流程。
首先,进行设计流程的约束设置。约束设置包括仿真设置和提参设置,其中约束设置主要包括电源电压设置、仿真激励设置、仿真方式设置、输出文件设置等,仿真方式例如可以为直流仿真、瞬态仿真等。提参设置主要包括工艺角设置、输出网表文件设置等,工艺角设置例如可以为最快、典型、最慢等。
而后,基于上述组件和约束设置,进行电路设计,该电路设计是指利用PKD中的组件进行电路设计的全流程,从电路图的产生一直到电路后仿真,具体包括:原理图实现、电路前仿真、版图实现、物理验证、寄生参数提取和电路后仿真。这样,在整个设计过程中,电路前仿真、物理验证、寄生参数提取和电路后仿真都会输出相应的结果,这些结果可以体现PDK组件应用时的完整性以及与设计中的设计工具的衔接性、PDK中器件的电特性。
其中,PDK中器件的电特性,是指PDK中器件的器件特性,PDK中器件例如MOS器件、三极管器件、二极管器件、电阻器件和电容器件等,主要是判断这些器件是否与常规器件的特性曲线相符。例如在MOS器件中,主要判断MOS器件的源端电流与源漏端电压的特性曲线以及开关特性,在电阻器件中,通过总电阻与电流电压关系判断电阻值的准确性。
在步骤S1043,根据全流程中的各输出结果,获得PDK组件完整性、PDK与电路设计中的设计工具的衔接性以及PDK的电特性的分析结果。
基于上述的设计全流程中的各输出结果,通过分析可以得到PDK组件完整性、PDK与电路设计中的设计工具的衔接性以及PDK的电特性的分析结果。其中,PDK的电特性可以通过分析Benchmark电路前仿真和后仿真结果得出,或者对比分析同节点其它PDK的Benchmark电路仿真结果得出。
以上对本发明实施例的工艺设计工具包的测试方法进行了详细的描述,此外,相应地,本发明还提供了实现上述方法的工艺设计工具包的测试装置,参考图6所示,包括:
基本信息验证模块,用于通过正则匹配的方式,根据预设源信息,比对验证工艺设计工具包的基本信息;
参数化单元验证模块,用于生成参数化单元的测试图案库,并通过对测试图案库的批量测试,实现参数化单元的验证;
设计规则验证模块,用于生成物理设计规则的测试图案库,并通过对测试图案库的批量测试,实现物理设计规则的验证;
设计流程验证模块,用于基于工艺设计工具包,进行设计流程验证。
进一步地,所述参数化单元验证模块包括:
参数实例化单元,用于将参数化单元中的各CDF参数进行参数实例化,使得各CDF参数具有实例化的参数值;
第一测试图案库生成单元,用于根据各CDF参数的实例化的参数值,生成具有不同实例化的参数值组合的参数化单元的测试图案库,参数化单元的测试图案库中的测试图案为符号图和/或版图;
第一验证单元,用于对参数化单元的测试图案库进行验证。
进一步地,所述设计规则验证模块包括:
第二测试图案库生成单元,用于分别以物理设计规则的设定值、大于设定值和小于设定值,生成物理设计规则的三种测试图案,以获得物理设计规则的测试图案库;
第二验证单元,用于对物理设计规则的测试图案库进行验证。
进一步地,所述设计流程验证模块包括:
映射单元,用于将基准电路库进行参数化单元的映射;
设计单元,用于基于映射后的基准电路库和工艺设计工具包,完成电路设计的全流程;
分析单元,根据全流程中的各输出结果,获得PDK组件完整性、PDK与电路设计中的设计工具的衔接性以及PDK的电特性的分析结果。
以上所述,仅是本发明的较佳实施例而已,并非对本发明作任何形式上的限制。虽然本发明已以较佳实施例揭露如上,然而并非用以限定本发明。任何熟悉本领域的技术人员,在不脱离本发明技术方案范围情况下,都可利用上述揭示的方法和技术内容对本发明技术方案做出许多可能的变动和修饰,或修改为等同变化的等效实施例。因此,凡是未脱离本发明技术方案的内容,依据本发明的技术实质对以上实施例所做的任何简单修改、等同变化及修饰,均仍属于本发明技术方案保护的范围内。

Claims (10)

1.一种工艺设计工具包的测试方法,其特征在于,包括:
通过正则匹配的方式,根据预设源信息,比对验证工艺设计工具包的基本信息;
分别生成参数化单元和物理设计规则的测试图案库,并通过对测试图案库的批量测试,分别实现参数化单元和物理设计规则的验证;
基于工艺设计工具包,进行设计流程验证。
2.根据权利要求1所述的测试方法,其特征在于,所述预设源信息包括制造工艺信息、SPICE器件模型和PDK设计规范;根据预设信息,比对验证工艺设计工具包的基本信息,包括:
根据制造工艺信息,比对验证器件名称及端点、器件视图和版图图层的基本信息;
根据SPICE器件模型,比对验证器件仿真参数和网表的基本信息;
根据PDK设计规范,对比验证器件的CDF参数和器件的回调函数的基本信息。
3.根据权利要求1所述的测试方法,其特征在于,生成参数化单元的测试图案库,并通过对测试图案库的批量测试实现参数化单元的验证,包括:
将参数化单元中的各CDF参数进行参数实例化,使得各CDF参数具有实例化的参数值;
根据各CDF参数的实例化的参数值,生成具有不同实例化的参数值组合的参数化单元的测试图案库,参数化单元的测试图案库中的测试图案为符号图和/或版图;
对参数化单元的测试图案库进行批量测试。
4.根据权利要求3所述的测试方法,其特征在于,根据各CDF参数的实例化的参数值,生成具有不同实例化的参数值组合的参数化单元的测试图案库,包括:
将各CDF参数按照实例化的参数值进行排列组合,生成具有不同实例化的参数值组合的参数化单元的测试图案库。
5.根据权利要求1所述的测试方法,其特征在于,生成物理设计规则的测试图案库,并通过对测试图案库的批量测试实现物理设计规则的验证,包括:
分别以物理设计规则的设定值、大于设定值和小于设定值,生成物理设计规则的三种测试图案,以获得物理设计规则的测试图案库;
对物理设计规则的测试图案库批量测试。
6.根据权利要求1所述的测试方法,其特征在于,基于工艺设计工具包,进行设计流程验证,包括:
将基准电路库进行参数化单元的映射;
基于映射后的基准电路库和工艺设计工具包,完成电路设计的全流程;
根据全流程中的各输出结果,获得PDK组件完整性、PDK与电路设计中的设计工具的衔接性以及PDK中器件的电特性的分析结果。
7.一种工艺设计工具包的测试装置,其特征在于,包括:
基本信息验证模块,用于通过正则匹配的方式,根据预设源信息,比对验证工艺设计工具包的基本信息;
参数化单元验证模块,用于生成参数化单元的测试图案库,并通过对测试图案库的批量测试,实现参数化单元的验证;
设计规则验证模块,用于生成物理设计规则的测试图案库,并通过对测试图案库的批量测试,实现物理设计规则的验证;
设计流程验证模块,用于基于工艺设计工具包,进行设计流程验证。
8.根据权利要求7所述的测试装置,其特征在于,所述参数化单元验证模块包括:
参数实例化单元,用于将参数化单元中的各CDF参数进行参数实例化,使得各CDF参数具有实例化的参数值;
第一测试图案库生成单元,用于根据各CDF参数的实例化的参数值,生成具有不同实例化的参数值组合的参数化单元的测试图案库,参数化单元的测试图案库中的测试图案为符号图和/或版图;
第一验证单元,用于对参数化单元的测试图案库进行验证。
9.根据权利要求7所述的测试装置,其特征在于,所述设计规则验证模块包括:
第二测试图案库生成单元,用于分别以物理设计规则的设定值、大于设定值和小于设定值,生成物理设计规则的三种测试图案,以获得物理设计规则的测试图案库;
第二验证单元,用于对物理设计规则的测试图案库进行验证。
10.根据权利要求7所述的测试装置,其特征在于,所述设计流程验证模块包括:
映射单元,用于将基准电路库进行参数化单元的映射;
设计单元,用于基于映射后的基准电路库和工艺设计工具包,完成电路设计的全流程;
分析单元,根据全流程中的各输出结果,获得PDK组件完整性、PDK与电路设计中的设计工具的衔接性以及PDK的电特性的分析结果。
CN201710542541.0A 2017-07-05 2017-07-05 一种工艺设计工具包的测试方法及装置 Active CN109214023B (zh)

Priority Applications (1)

Application Number Priority Date Filing Date Title
CN201710542541.0A CN109214023B (zh) 2017-07-05 2017-07-05 一种工艺设计工具包的测试方法及装置

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
CN201710542541.0A CN109214023B (zh) 2017-07-05 2017-07-05 一种工艺设计工具包的测试方法及装置

Publications (2)

Publication Number Publication Date
CN109214023A true CN109214023A (zh) 2019-01-15
CN109214023B CN109214023B (zh) 2022-12-09

Family

ID=64993758

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201710542541.0A Active CN109214023B (zh) 2017-07-05 2017-07-05 一种工艺设计工具包的测试方法及装置

Country Status (1)

Country Link
CN (1) CN109214023B (zh)

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN111125990A (zh) * 2019-12-19 2020-05-08 北京华大九天软件有限公司 一种寄生参数结果正确性的判断方法
CN111291531A (zh) * 2019-01-28 2020-06-16 展讯通信(上海)有限公司 集成电路的电学相关的设计规则检查方法及装置
CN112270146A (zh) * 2020-10-28 2021-01-26 中国科学院微电子研究所 工艺设计工具包开发方法、装置、电子设备及存储介质
CN115131007A (zh) * 2022-09-01 2022-09-30 中国电子科技集团公司信息科学研究院 异构集成微系统工艺库开发平台的过程管理方法及装置

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN103838894A (zh) * 2012-11-26 2014-06-04 北京华大九天软件有限公司 一种pdk自动测试实现方法
CN103853863A (zh) * 2012-12-05 2014-06-11 北京华大九天软件有限公司 Pdk自动测试界面实现方法
US20140282308A1 (en) * 2013-03-12 2014-09-18 Taiwan Semiconductor Manufacturing Co., Ltd. Method of radio-frequency and microwave device generation
CN105740487A (zh) * 2014-12-09 2016-07-06 中芯国际集成电路制造(上海)有限公司 基于工艺设计包的版图与原理图一致性验证方法

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN103838894A (zh) * 2012-11-26 2014-06-04 北京华大九天软件有限公司 一种pdk自动测试实现方法
CN103853863A (zh) * 2012-12-05 2014-06-11 北京华大九天软件有限公司 Pdk自动测试界面实现方法
US20140282308A1 (en) * 2013-03-12 2014-09-18 Taiwan Semiconductor Manufacturing Co., Ltd. Method of radio-frequency and microwave device generation
CN105740487A (zh) * 2014-12-09 2016-07-06 中芯国际集成电路制造(上海)有限公司 基于工艺设计包的版图与原理图一致性验证方法

Non-Patent Citations (2)

* Cited by examiner, † Cited by third party
Title
吴林: "对PDK实现程序化QA的难点处理", 《中国集成电路》 *
胡龙跃等: "高效率集成电路测试芯片设计方法", 《计算机工程与应用》 *

Cited By (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN111291531A (zh) * 2019-01-28 2020-06-16 展讯通信(上海)有限公司 集成电路的电学相关的设计规则检查方法及装置
CN111125990A (zh) * 2019-12-19 2020-05-08 北京华大九天软件有限公司 一种寄生参数结果正确性的判断方法
CN112270146A (zh) * 2020-10-28 2021-01-26 中国科学院微电子研究所 工艺设计工具包开发方法、装置、电子设备及存储介质
CN112270146B (zh) * 2020-10-28 2024-05-24 中国科学院微电子研究所 工艺设计工具包开发方法、装置、电子设备及存储介质
CN115131007A (zh) * 2022-09-01 2022-09-30 中国电子科技集团公司信息科学研究院 异构集成微系统工艺库开发平台的过程管理方法及装置
CN115131007B (zh) * 2022-09-01 2022-11-29 中国电子科技集团公司信息科学研究院 异构集成微系统工艺库开发平台的过程管理方法及装置

Also Published As

Publication number Publication date
CN109214023B (zh) 2022-12-09

Similar Documents

Publication Publication Date Title
US9760668B2 (en) Automating system on a chip customized design integration, specification, and verification through a single, integrated service
CN109214023A (zh) 一种工艺设计工具包的测试方法及装置
US8117576B2 (en) Method for using an equivalence checker to reduce verification effort in a system having analog blocks
US7206731B2 (en) Electromagnetic/circuit co-simulation and co-optimization with parametric layout components
US8856701B1 (en) Method of radio-frequency and microwave device generation
CN104933214B (zh) 集成电路设计方法和装置
CN104346272B (zh) 芯片自动仿真验证系统
CN102368276A (zh) 一种自动验证电学规则文件正确性的流程方法
CN102741848B (zh) 用所定义模式改善预布线和后布线网相关性
Martins et al. AIDA: Automated analog IC design flow from circuit level to layout
CN102439469A (zh) 单元意识错误模型创建和模式生成
CN103207941A (zh) 集成电路供电网络全参数模型下瞬态分析方法及系统
CN109635488A (zh) 一种平板显示集成电路工艺设计方法及工具
CN103294600B (zh) 基于Perl的EDIF网表级电路的自动可测性设计系统的自动可测性设计方法
CN107016143A (zh) 参数提取的方法及其系统
CN103810316B (zh) 降低寄生失配的方法
CN105740487A (zh) 基于工艺设计包的版图与原理图一致性验证方法
CN103425812A (zh) 半导体器件设计系统及其使用方法
US7380233B2 (en) Method of facilitating integrated circuit design using manufactured property values
CN111624475A (zh) 大规模集成电路的测试方法及系统
CN102722605A (zh) 电路的验证方法和半导体器件的模拟方法
US7089171B2 (en) Method for characterizing the accuracy of a simulated electrical circuit model
CN101794328B (zh) 针对门输出负载的快速且准确的估计
CN103488842A (zh) 一种基于Saber的典型分立器件的可靠性自动预计方法
Di Bucchianico et al. Robust and efficient uncertainty quantification and validation of RFIC isolation

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant