CN108122770B - 半导体器件和制造方法 - Google Patents

半导体器件和制造方法 Download PDF

Info

Publication number
CN108122770B
CN108122770B CN201710729426.4A CN201710729426A CN108122770B CN 108122770 B CN108122770 B CN 108122770B CN 201710729426 A CN201710729426 A CN 201710729426A CN 108122770 B CN108122770 B CN 108122770B
Authority
CN
China
Prior art keywords
spacer material
precursor
layer
depositing
modified
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
CN201710729426.4A
Other languages
English (en)
Other versions
CN108122770A (zh
Inventor
卢柏全
黄泰钧
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Original Assignee
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Taiwan Semiconductor Manufacturing Co TSMC Ltd filed Critical Taiwan Semiconductor Manufacturing Co TSMC Ltd
Publication of CN108122770A publication Critical patent/CN108122770A/zh
Application granted granted Critical
Publication of CN108122770B publication Critical patent/CN108122770B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66787Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
    • H01L29/66795Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/34Nitrides
    • C23C16/345Silicon nitride
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • H01L21/0214Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC the material being a silicon oxynitride, e.g. SiON or SiON:H
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/0217Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon nitride not containing oxygen, e.g. SixNy or SixByNz
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/022Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being a laminate, i.e. composed of sublayers, e.g. stacks of alternating high-k metal oxides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02211Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound being a silane, e.g. disilane, methylsilane or chlorosilane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0337Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/30604Chemical etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32139Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/6653Unipolar field-effect transistors with an insulated gate, i.e. MISFET using the removal of at least part of spacer, e.g. disposable spacer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66545Unipolar field-effect transistors with an insulated gate, i.e. MISFET using a dummy, i.e. replacement gate in a process wherein at least a part of the final gate is self aligned to the dummy gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/6656Unipolar field-effect transistors with an insulated gate, i.e. MISFET using multiple spacer layers, e.g. multiple sidewall spacers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • H01L29/7851Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET with the body tied to the substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/3115Doping the insulating layers
    • H01L21/31155Doping the insulating layers by ion implantation

Landscapes

  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Chemical & Material Sciences (AREA)
  • Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Ceramic Engineering (AREA)
  • General Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Organic Chemistry (AREA)
  • Metallurgy (AREA)
  • Mechanical Engineering (AREA)
  • Materials Engineering (AREA)
  • Chemical Vapour Deposition (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)

Abstract

制造半导体器件的方法包括在半导体鳍和栅极堆叠件上形成间隔件材料,其中,形成间隔件材料进一步包括使用原子层沉积以将第一材料沉积在半导体鳍上并且使用原子层沉积以将第二材料沉积在第一材料上,其中,第二材料与第一材料不同。从半导体鳍去除间隔件材料,其中,去除间隔件材料进一步包括将蚀刻改性剂注入至间隔件材料以形成改性的间隔件材料并且去除改性的间隔件材料。本发明的实施例还涉及半导体器件。

Description

半导体器件和制造方法
技术领域
本发明的实施例涉及半导体器件和制造方法。
背景技术
半导体器件用于例如,诸如个人电脑、手机、数码相机和其它电子设备的各种电子应用中。通常通过在半导体衬底上方依次沉积绝缘或介电层、导电层和半导体材料层以及使用光刻图案化各个材料层以在各个材料层上形成电路组件和元件来制造半导体器件。
半导体工业通过不断减小最小部件尺寸持续地改进各个电子组件(例如,晶体管、二极管、电阻器、电容器等)的集成密度,这允许更多的组件集成至给定的区域。然而,随着最小部件尺寸的减小,出现的附加问题应该被解决。
发明内容
本发明的实施例提供了一种制造半导体器件的方法,所述方法包括:在半导体衬底上方形成半导体鳍;在所述半导体鳍的部分上方形成栅极堆叠件;在所述半导体鳍和所述栅极堆叠件上形成间隔件材料,其中,形成所述间隔件材料还包括:使用原子层沉积以将第一材料沉积在所述半导体鳍上;使用原子层沉积以将第二材料沉积在所述第一材料上,其中,所述第二材料与所述第一材料不同;从所述半导体鳍去除所述间隔件材料,其中,去除所述间隔件材料还包括:将蚀刻改性剂注入至所述间隔件材料以形成改性的间隔件材料;和去除所述改性的间隔件材料。
本发明的另一实施例提供了一种制造半导体器件的方法,所述方法包括:直接在半导体鳍上沉积第一间隔件材料;直接在所述第一间隔件材料上沉积第二间隔件材料以形成双层间隔件材料;使用第一循环去除所述双层间隔件材料的第一部分,其中,所述第一循环包括:将蚀刻改性剂注入至所述双层间隔件材料;和在注入所述蚀刻改性剂之后,湿蚀刻所述双层间隔件材料;以及去除所述双层间隔件材料的第二部分,其中,去除所述双层间隔件材料的所述第二部分包括重复所述第一循环一次或多次。
本发明的又一实施例提供了一种制造半导体器件的方法,所述方法包括:沉积与半导体鳍直接接触的氮化硅;沉积与所述氮化硅直接接触的碳氮氧化硅;将氮注入至所述碳氮氧化硅;以及使用一种或多种湿蚀刻去除所述碳氮氧化硅和所述氮化硅。
附图说明
当结合附图进行阅读时,从以下详细描述可最佳理解本发明的各个方面。应该指出,根据工业中的标准实践,各个部件未按比例绘制。实际上,为了清楚的讨论,各个部件的尺寸可以任意地增大或减小。
图1示出了根据一些实施例的位于半导体鳍上方的栅电极。
图2A至图2D示出了根据一些实施例的间隔件材料的形成。
图3A至图4示出了根据一些实施例的部分间隔件材料的去除。
图5A至图5B示出了根据一些实施例的间隔件材料的重复去除。
图6A至图6B示出了根据一些实施例的测试数据。
图7A至图7B示出了根据一些实施例的源极/漏极区域的生长。
具体实施方式
以下公开内容提供了许多用于实现本发明的不同特征的不同实施例或实例。下面描述了组件和布置的具体实例以简化本发明。当然,这些仅仅是实例,而不旨在限制本发明。例如,以下描述中,在第二部件上方或者上形成第一部件可以包括第一部件和第二部件直接接触形成的实施例,并且也可以包括在第一部件和第二部件之间可以形成额外的部件,从而使得第一部件和第二部件可以不直接接触的实例。此外,本发明可在各个实施例中重复参考标号和/或字符。该重复是为了简单和清楚的目的,并且其本身不指示所讨论的各个实施例和/或配置之间的关系。
而且,为便于描述,在此可以使用诸如“在…之下”、“在…下方”、“下部”、“在…之上”、“上部”等空间相对术语,以描述如图所示的一个元件或部件与另一个(或另一些)原件或部件的关系。除了图中所示的方位外,空间相对术语旨在包括器件在使用或操作中的不同方位。装置可以以其他方式定向(旋转90度或在其他方位上),而本文使用的空间相对描述符可以同样地作出相应的解释。
现在将参照特定实施例描述实施例,诸如五纳米技术节点的鳍式场效应晶体管(FinFET)。然而,也可以以其它方式应用实施例。
现在参照图1,图1示出了具有第一沟槽103和鳍107的衬底101。衬底101可以是硅衬底,但是可以使用诸如绝缘体上半导体(SOI)、应变的SOI和绝缘体上硅锗的其它衬底。衬底101可以是p-型半导体,但是在其它实施例中,衬底101可以是n-型半导体。
作为第一隔离沟槽105的最终形成中的初始步骤,可以形成第一沟槽103。可以使用掩模层(未在图1中单独示出)以及合适的蚀刻工艺形成第一沟槽103。例如,掩模层可以是通过诸如化学汽相沉积(CVD)的工艺形成的包括氮化硅的硬掩模,但是可以使用诸如氧化物、氮氧化物、碳化硅、这些的组合等的其它材料,以及诸如等离子体增强的化学汽相沉积(PECVD)、低压化学汽相沉积(LPCVD)或甚至形成氧化硅且随后氮化的其它工艺。一旦形成,则可以通过合适的光刻工艺图案化掩模层以暴露将被去除的衬底101的那些部分以形成第一沟槽103。
一旦掩模层已经形成并且图案化,则在衬底101中形成第一沟槽103。可以通过诸如反应离子蚀刻(RIE)的合适的工艺去除暴露的衬底101,以在衬底101中形成第一沟槽103,但是可以使用任何合适的工艺。在实施例中,第一沟槽103可以形成为与衬底101的表面具有小于约
Figure GDA0002453640180000031
的距离,诸如约
Figure GDA0002453640180000032
然而,本领域中普通技术人员将意识到,以上描述的形成第一沟槽103的工艺仅仅是一个潜在工艺,并且不意味着是唯一的实施例。相反,可以使用形成第一沟槽103的任何合适的工艺。可以使用包括任何数量的掩模和去除步骤的任何合适的工艺。
除了形成第一沟槽103之外,掩模和蚀刻工艺还由保留未去除的衬底101的那些部分形成鳍107。为了方便,鳍107已经在图中示出为通过虚线与衬底101分隔开,但是物理指示可以存在或不存在。如以下描述的,这些鳍107可以用于形成多栅极FinFET晶体管的沟道区域。虽然图1中仅示出了由衬底101形成的四个鳍107,但是可以使用任何数量的鳍107。
鳍107可以形成为使得它们在衬底101的表面具有介于约7nm和约12nm之间(诸如约7nm)的第一宽度W1。此外,鳍107可以彼此间隔开介于约12nm和约19nm之间(诸如约13nm)的第一距离D1,以及介于约10nm和约30nm之间(诸如约24nm)的第二距离D2。通过这种方式间隔开鳍107,鳍107可以各自形成单独的沟道区域,同时仍足够接近以共有共同的栅极(以下进一步讨论)。
一旦第一沟槽103和鳍107已经形成,第一沟槽103可以填充有介电材料,并且该介电材料可以向第一沟槽103内凹进,以形成第一隔离区域105。该介电材料可以是氧化物材料、高密度等离子体(HDP)氧化物等。在第一沟槽103的可选清洗和内衬之后,可以使用化学汽相沉积(CVD)方法(例如,HARP工艺)、高密度等离子体CVD方法或者其它合适的形成方法形成介电材料。
可以通过用介电材料过填充第一沟槽103和衬底101并且之后通过合适的工艺(诸如化学机械抛光(CMP)、蚀刻、这些的组合等)去除第一沟槽103和鳍107外部的过量的材料来填充第一沟槽103。在实施例中,去除工艺也去除了位于鳍107上方的任何介电材料,使得介电材料的去除将暴露鳍107的表面以用于进一步处理步骤。
一旦第一沟槽103已经填充有介电材料,之后,可以使介电材料凹进远离鳍107的表面。可以实施凹进以暴露邻近于鳍107的顶面的至少部分鳍107的侧壁。可以通过使用将鳍107的顶面浸至蚀刻剂(诸如HF)的湿蚀刻使介电材料凹进,但是可以使用诸如H2的其它蚀刻剂以及诸如反应离子蚀刻、使用诸如NH3/NF3的蚀刻剂的干蚀刻、化学氧化物去除或干化学清洗的其它方法。可以使介电材料凹进至与鳍107的表面的距离介于约
Figure GDA0002453640180000041
Figure GDA0002453640180000042
和约
Figure GDA0002453640180000043
之间,诸如约
Figure GDA0002453640180000044
此外,该凹进也可以去除位于鳍107上方的任何剩余的介电材料以确保鳍107暴露以用于进一步处理。
然而,本领域中普通技术人员将意识到,以上描述的步骤仅仅是用于填充介电材料和使介电材料凹进的全部工艺流程的一部分。例如,也可以使用内衬步骤、清洗步骤、退火步骤、间隙填充步骤以及这些的组合等以形成第一沟槽103并且用介电材料填充第一沟槽103。所有潜在的工艺步骤旨在完全包括在本发明的范围内。
在已经形成第一隔离区域105之后,可以在每个鳍107上方形成栅极介电材料109和栅电极材料111。在实施例中,可以通过热氧化、化学汽相沉积、原子层沉积、溅射或任何其它合适的方法形成栅极介电材料109。根据栅极电介质形成技术,鳍107的顶部上的栅极介电材料109的厚度可以与鳍107的侧壁上的栅极电介质厚度不同。
栅极介电材料109可以包括诸如二氧化硅或氮氧化硅的材料,具有在从约3埃至约100埃的范围内的厚度,诸如约10埃。在其它实施例中,栅极介电材料109可以由高介电常数(高k)材料(例如,相对介电常数大于约5)形成,诸如氧化镧(La2O3)、氧化铝(Al2O3)、氧化铪(HfO2)、氮氧化铪(HfON)或氧化锆(ZrO2)或它们的组合,具有约0.5埃至约100埃的等效氧化物厚度,诸如约10埃或更小。此外,二氧化硅、氮氧化硅和/或高k材料的任何组合也可以用于栅极介电材料109。
栅电极材料111可以包括导电材料,以及可以选自包括多晶硅(多晶Si)、多晶硅锗(多晶-SiGe)、金属氮化物、金属硅化物、金属氧化物、金属、这些的组合等的组。金属氮化物的实例包括氮化钨、氮化钼、氮化钛和氮化钽或它们的组合。金属硅化物的实例包括硅化钨、硅化钛、硅化钴、硅化镍、硅化铂、硅化铒或它们的组合。金属氧化物的实例包括氧化钌、氧化铟锡或它们的组合。金属的实例包括钨、钛、铝、铜、钼、镍、铂等。
可以通过化学汽相沉积(CVD)、溅射沉积或用于沉积导电材料的其它技术来沉积栅电极材料111。在这个时间点处,可以向栅电极材料111引入或者不引入离子。例如,可以通过离子注入技术引入离子。
一旦形成,作为栅极介电材料109和栅电极材料111的图案化的一部分,可以在栅极介电材料109和栅电极材料111上方形成额外的材料。一旦图案化,则栅极介电材料109和栅电极材料111将形成位于栅极介电材料109下面的鳍107的每侧上的多个沟道区域。在实施例中,可以通过在栅电极材料111上方沉积第一硬掩模(未在图1中单独示出)和第二硬掩摸(未在图1中单独示出)开始图案化。在实施例中,第一硬掩模包括介电材料,诸如氮化硅、氮化钛、氮氧化硅、硅、氧化硅、氮化钛、氮化钽、钨、这些的组合等。可以使用诸如化学汽相沉积、等离子体增强化学汽相沉积、原子层沉积等的工艺形成第一硬掩模,并且可以形成为约
Figure GDA0002453640180000061
的厚度。然而,可以使用任何其它合适的材料和形成方法。
一旦第一硬掩模已经形成,则在第一硬掩模上方形成第二硬掩摸以填充由栅电极材料111的非平面性导致的第一硬掩模的非平面部分。在实施例中,第二硬掩模可以是与第一硬掩模不同的材料,诸如通过诸如化学汽相沉积或物理汽相沉积形成的多晶硅、氧化硅、硅、氮化硅、氮化钛、氮化钽、钨、这些的组合等。第二硬掩模可以形成为具有约
Figure GDA0002453640180000062
的厚度。然而,可以使用任何合适的材料、形成工艺以及厚度。
一旦第二硬掩模已经形成,可以使用平坦化工艺平坦化第二硬掩模和第一硬掩模并且以去除两者之间的任何非平面。在实施例中,平坦化工艺可以是用于暴露下面的第一硬掩模的化学机械抛光。然而,可以使用平坦化第一硬掩模和第二硬掩模的任何合适的方法。
一旦第一硬掩模和第二硬掩模已经平坦化,则实施第一硬掩模和第二硬掩模的去除,这也将平面度转移至下面的栅电极材料111。在实施例中,用回蚀刻工艺去除第一硬掩模和第二硬掩模,该回蚀刻工艺以适当接近的速率去除第一硬掩模的材料和第二硬掩模的材料。然而,可以使用任何合适的去除工艺。
一旦栅电极材料111已经平坦化,则将第三硬掩模113、第四硬掩模115、芯轴材料(未在图1中单独示出)以及光刻胶(未在图1中单独示出)放置在栅电极材料111上方。在实施例中,第二硬掩模113包括介电材料,诸如氮化硅、氮化钛、氮氧化硅、硅、氧化硅、氮化钛、氮化钽、钨、这些的组合等。第三硬掩模113可以使用诸如化学汽相沉积、等离子体增强化学汽相沉积、原子层沉积等的工艺形成,并且可以形成为介于约
Figure GDA0002453640180000071
和约
Figure GDA0002453640180000072
之间的厚度,诸如约
Figure GDA0002453640180000073
然而,可以使用任何其它合适的材料和形成方法。
一旦第三硬掩模113已经形成,则在第三硬掩模113上方形成第四硬掩模115。在实施例中,第四硬掩模115可以是与第三硬掩模113不同的材料,诸如氧化硅、硅、氮化硅、氮化钛、氮化钽、钨、这些的组合等,或可以通过诸如化学汽相沉积或物理汽相沉积的沉积工艺形成。第四硬掩模115可以形成为具有介于约
Figure GDA0002453640180000074
和约
Figure GDA0002453640180000075
之间的厚度,诸如约
Figure GDA0002453640180000076
然而,可以使用任何其它合适的材料、形成工艺和厚度。
在实施例中,芯轴材料可以是伪材料,诸如伪非晶硅、伪多晶硅(DPO)或可以被图案化的其它材料。可以使用诸如化学汽相沉积(CVD)、物理汽相沉积(PVD)、这些的组合等的沉积工艺沉积芯轴材料。然而,可以使用任何合适的材料或沉积方法。
一旦芯轴材料已经形成,则将光刻胶放置在芯轴材料上方。在实施例中,该光刻胶是三层光刻胶,具有底部抗反射涂(BARC)层、中间掩模层和顶部光刻胶层(未在图1中单独示出)。然而,可以使用任何合适类型的感光材料或材料的组合。
一旦已经将光刻胶放置在芯轴材料、第三硬掩模113以及第四硬掩模115上方,则图案化光刻胶。在实施例中,可以通过将光刻胶(例如,三层光刻胶中的顶部光刻胶层)内的感光材料暴露于穿过例如中间掩模的图案化的能量源(例如,光)来图案化光刻胶。能量的撞击将引起由图案化的能量源撞击的感光材料的那些部分中的化学反应,从而改变光刻胶的暴露的部分的物理性质,从而使得光刻胶的暴露的部分的物理性质与光刻胶的未暴露的部分的物理性质不同。之后,可以用例如,显影剂(未单独示出)显影光刻胶,以将光刻胶的暴露的部分和光刻胶的未暴露的部分分离。
一旦光刻胶已经图案化,则可以开始图案化工艺,该图案化工艺将用于将光刻胶的图案转移至芯轴材料并且形成芯轴。在实施例中,图案化工艺可以是各向异性干蚀刻,诸如反应离子蚀刻(RIE),可以使用图案化工艺以开始将图案从光刻胶转移至芯轴材料以形成芯轴。然而,可以使用任何合适的蚀刻工艺。
一旦芯轴已经形成,则可以从芯轴去除光刻胶。在实施例中,等离子体灰化工艺可以用于去除光刻胶,从而可以增加光刻胶的温度直至光刻胶经历热分解并且可以被去除。然而,可以使用诸如湿剥离的任何其它合适的工艺。
此外,一旦光刻胶已经去除,可以在芯轴的相对侧上形成间隔件(未单独示出)。在实施例中,间隔件可以是开始通过毯式沉积间隔件材料(未单独示出)并且之后使用各向异性蚀刻工艺去除间隔件材料的水平部分形成的介电材料。一旦间隔件已经形成,则可以使用例如湿蚀刻工艺从间隔件之间去除芯轴。然而,可以使用任何合适的工艺。
一旦间隔件已经形成,则间隔件可以用作掩模以将间隔件的图案转移至第三硬掩模113和第四硬掩模115。在实施例中,可以使用例如,诸如反应离子蚀刻的各向异性蚀刻实施图案的转移。然而,可以使用任何合适的去除工艺。
此外,一旦第三硬掩模113和第四硬掩模115已经图案化,则第三硬掩模113和第四硬掩模115可以用作掩模以将栅电极材料111和栅极介电材料119图案化成一个或多个栅极堆叠件117。在实施例中,可以使用诸如反应离子蚀刻的各向异性蚀刻工艺图案化栅电极材料111。然而,任何合适的蚀刻工艺均可以用于将第三硬掩模113和第四硬掩模115的图案转移至栅电极材料111。
在实施例中,栅极堆叠件117可以形成为具有介于约10nm和约30nm之间(诸如约16nm)的第二宽度W2。此外,单独的栅极堆叠件117可以彼此间隔开介于约介于约10nm和约40nm之间(诸如约28nm)的第三距离D3,或介于约20nm和约60nm之间(诸如约44nm)的第四距离D4。然而,可以使用任何合适的宽度和距离。
此外,本领域中普通技术人员将意识到,虽然以上描述了图案化栅电极材料111的一系列步骤,但是这些步骤旨在说明并且不旨在将工艺限制于这些步骤。相反,除了以上描述的步骤之外或代替以上描述的步骤,可以使用任何其它合适的步骤。例如,也可以使用额外的光刻胶实施栅电极材料修整,在修整之后蚀刻底部抗反射涂层或在其它部分上方放置额外的光刻胶。可以使用任何合适的组合或系列步骤以图案化栅电极材料111。
图2A至图2D示出了使用沉积系统200(图2A至图2B中示出的)的第一间隔件材料201(图2C中示出的)的形成,其中,图2D是沿着线D-D’的图2C中的鳍107的一个的截面图。在实施例中,第一间隔件材料201可以形成为双层材料,具有包括诸如氮化硅的第一介电材料的第一层203(图2D中示出的)和包括诸如碳氮氧化硅(SiOCN)的第二介电材料的第二层205。然而,可以使用任何合适的材料。
首先看图2A,图2A示出了沉积系统200,该系统200可以用于使用原位、共形沉积工艺(诸如原子层沉积)形成第一层203和第二层205。在实施例中,沉积系统200从第一前体传送系统211、第二前体传送系统213、第三前体传送系统215和第四前体传送系统217接收前体材料以形成一系列单层材料以覆盖栅极堆叠件117和鳍107。在实施例中,第一前体传送系统211、第二前体传送系统213、第三前体传送系统215和第四前体传送系统217可以彼此结合工作以向其中放置衬底101的沉积室219供应各种不同的前体材料。然而,第一前体传送系统211、第二前体传送系统213、第三前体传送系统215和第四前体传送系统217可以具有彼此相似的物理组件。
例如,第一前体传送系统211、第二前体传送系统213、第三前体传送系统215和第四前体传送系统217每个均可以包括气体供应器221和流量控制器223(在图2A中关于第一前体传送系统211进行了标注,但是为了清楚,没有关于第二前体传送系统213、第三前体传送系统215和第四前体传送系统217进行标注)。在实施例中,其中,第一前体以气态存储,气体供应器221可以将第一前体供应至沉积室219。气体供应器221可以是诸如气体存储罐的容器,其局部地位于沉积室219或者可以远离沉积室219。可选地,气体供应器221可以是独立地制备第一前体并且将第一前体传送至流量控制器223的设备。可以将第一前体的任何合适的来源用作气体供应器221,并且所有这些来源均旨在包括在实施例的范围内。
气体供应器221可以向流量控制器223供应期望的前体。流量控制器223可以用于控制至前体气体控制器225并且最终至沉积室219的前体的流量,从而也有助于控制沉积室219内的压力。例如,流量控制器223可以是比例阀、调节阀、针型阀、压力调节器、质量流量控制器、这些的组合等。然而,可以使用用于控制和调节流量的任何合适的方法,并且所有这些组件和方法都旨在完全地包括在实施例的范围内。
然而,本领域中普通技术人员将意识到,虽然第一前体传送系统211、第二前体传送系统213、第三前体传送系统215和第四前体传送系统217已经在此处描述为具有相同的组件,但是这仅仅是示例性实例,并且不旨在以任何方式限制实施例。可以可选地使用沉积系统200内的具有与任何其它前体传送系统相同或不同的任何类型和数量的单独的组件的任何类型的合适的前体传送系统。所有这些前体系统旨在完全地包括在实施例的范围内。
此外,在实施例中,其中,第一前体以固态或液态存储,气体供应器221可以存储载气,并且可以将载气引入至前体罐(未单独示出),该前体罐存储固态或液态的第一前体。之后,在将第一前体送至前体气体控制器225之前,随着第一前体蒸发或升华为前体罐的气体部分,载气用于推动和携带第一前体。可以使用任何合适的方法和单元的组合来提供第一前体,并且所有这些单元的组合都旨在完全地包括在实施例的范围内。
第一前体传送系统211、第二前体传送系统213、第三前体传送系统215和第四前体传送系统217可以将它们单独的前体材料供应至前体气体控制器225。前体气体控制器225将第一前体传送系统211、第二前体传送系统213、第三前体传送系统215和第四前体传送系统217连接至沉积室219以及与沉积室219隔离,以将期望的前体材料传送至沉积室219。前体气体控制器225可以包括诸如阀门、流量计、传感器等的器件以控制每一种前体的传送速率并且可以通过从控制单元227(以下参照图2B进一步描述)接收的指令控制。
根据从控制单元227接收的指令,前体气体控制器225可以打开和关闭阀门,以将第一前体传送系统211、第二前体传送系统213、第三前体传送系统215和第四前体传送系统217的一个连接至沉积室219,并且通过歧管229将期望的前体材料引导至沉积室219中并且至喷头231。喷头231可以用于将选择的前体材料分散至沉积室219并且可以设计为均匀地分散前体材料,以最小化可能由不均匀的分散引起的不期望的工艺条件。在实施例中,喷头231可以具有圆形设计,开口均匀地分散在喷头231上,以允许将期望的前体材料分散至沉积室219。
然而,本领域中普通技术人员将意识到,以上描述的通过单个喷头231或通过单点引入将前体材料引入至沉积室219仅仅旨在说明,并且不旨在限制实施例。可以可选地使用任何数量的分隔开并且独立的喷头231或其它开口以将前体材料引入至沉积室219。喷头和其它点引入的所有这些组合旨在完全地包括在实施例的范围内。
沉积室219可以接收期望的前体材料并且将前体材料暴露于栅极堆叠件117和鳍107的侧壁,并且沉积室219可以是任何期望的形状,该形状适合于分散前体材料并且将前体材料与栅极堆叠件117和鳍107的侧壁接触。在图2A示出的实施例中,沉积室219具有圆柱形的侧壁和底部。然而,沉积室219不限于圆柱形,并且可以使用任何其它合适的形状,诸如中空方管、八边形等。此外,沉积室219可以由与各种工艺材料呈惰性的材料制成的壳体233围绕。因此,虽然壳体233可以是耐受沉积工艺中涉及的化学物和压力的任何合适的材料,但在实施例中,壳体233可以是钢、不锈钢、镍、铝、它们的合金、它们的组合等。
在沉积室219内,衬底101可以放置在安装平台235上以在沉积工艺期间定位并且控制衬底101。安装平台235可以包括加热机制以在沉积工艺期间加热衬底101。此外,尽管图2A中示出了单个安装平台235,但是处理室219内可以额外地包括任何数量的安装平台235。
此外,沉积室219和安装平台235可以是集群工具系统(未示出)的一部分。集群工具系统可以与自动处理系统协作,以在沉积工艺之前将衬底101定位和放置在沉积室219中,在沉积工艺期间定位和保持衬底101,并且在沉积工艺之后从沉积室219中去除衬底101。
沉积室219也可以具有排气口237以用于将废气排出沉积室219。真空泵239可以连接至沉积室219的排气口237以有助于排空废气。受控制单元227控制的真空泵239也可以用于将沉积室219内的压力减小和控制至期望的压力,并且也可以用于从沉积室219中排空前体材料以准备引入下一前体材料。
图2B示出了控制单元227的实施例,该控制单元可以用于控制前体气体控制器225和真空泵239(如图2A中示出的)。控制单元227可以是可以用于控制工艺机械的工业环境中的任何形式的计算机处理器。在实施例中,控制单元227可以包括处理单元251,诸如台式计算机、工作站、便携式计算机或用于特定应用的定制的专用单元。控制单元227可以装备有显示器253以及一个或多个输入/输出组件255,诸如指令输出、传感器输入、鼠标、键盘、打印机、这些的组合等。处理单元251可以包括中央处理单元(CPU)257、存储器259、大容量存储器件261、视频适配器263和连接至总线267的I/O接口265。
总线267可以是包括存储器总线或存储器控制器、外围总线或视频总线的一种或多种任何类型的若干个总线架构。CPU 257可以包括任何类型的电子数据处理器,并且存储器259可以包括任何类型的系统存储器,诸如静态随机存取存储器(SRAM)、动态随机存取存储器(DRAM)或只读存储器(ROM)。大容量存储器件261可以包括任何类型的存储器件,其配置为存储数据、程序以及其它信息并且使得可通过总线267来访问数据、程序和其它信息。例如,大容量存储器件261可以包括一个或多个硬盘驱动器、磁盘驱动器或光盘驱动器。
视频适配器263和I/O接口265提供接口以将外部输入和输出器件连接至处理单元251。如图2B中示出的,输入和输出器件的实例包括连接至视频适配器263的显示器253和耦合至I/O接口265的I/O组件255,诸如鼠标、键盘、打印机等。其它的器件可以连接至处理单元251,并且可以使用额外的或更少的接口卡。例如,串联接口卡(未示出)可以用于提供用于打印机的串联接口。处理单元251也可以包括网络接口269,其可以是至局域网(LAN)或广域网(WAN)271的有线连接和/或无线连接。
应该注意,控制单元227可以包括其它组件。例如,控制单元227可以包括供电电源、电缆、主板、可移动存储介质、包装箱等。虽然未在图2B中示出,但是这些其它组件被认为是控制单元227的一部分。
回到图2A并且也看图2D,在实施例中,可以通过将第一前体材料放入至第一前体传送系统211来开始第一层203的形成。例如,在实施例中,其中,第一层203是氮化硅,第一前体材料可以是诸如六氯乙硅烷(Si2Cl6)的前体并且可以放置到第一前体传送系统211中。然而,本领域中普通技术人员将意识到,这种前体不是可以用于形成氮化硅层的仅有的前体,并且六氯乙硅烷的使用不旨在限制实施例。可以使用任何合适的相(固体、液体或气体)的任何合适的前体材料(诸如二氯硅烷)来形成氮化硅层,或可以用于形成其它材料的任何其它前体。
此外,可以将第二前体材料放置到第二前体传送系统213中。在实施例中,其中,氮化硅层是用于第一层203的期望的材料,第二前体材料可以是包含氮的前体材料以与第一前体材料反应来形成氮化硅的单层。例如,在实施例中,其中,六氯乙硅烷用作第一前体材料,氨(NH3)可以用作第二前体材料并且可以放置到第二前体传送系统213中。然而,作为第二前体材料的氨的描述不旨在限制实施例,并且可以使用任何其它合适的前体材料(诸如N2等)作为第二前体材料。
一旦将第一前体材料和第二前体材料分别放置到第一前体传送系统211和第二前体传送系统213中,则通过控制单元227向前体气体控制器225发送指令以将第一前体传送系统211连接至沉积室219来开始第一层203的形成。一旦连接,第一前体传送系统211就可以通过前体气体控制器225和歧管229来向喷头231传送第一前体材料(例如,六氯乙硅烷)。之后,喷头231可以将第一前体材料分散至沉积室219,其中,第一前体材料可以被吸附并且与栅极堆叠件117和鳍107的暴露的表面反应。
在形成氮化硅层的实施例中,第一前体材料可以以介于约0.1slm和约3slm之间的流速约12秒每循环流入沉积室219。此外,沉积室219可以保持在介于约0.1托和约5托之间(诸如约0.5托)的压力下以及介于约570℃和约650℃之间的温度下。然而,本领域中普通技术人员将意识到,这些工艺条件仅仅旨在说明,可以使用任何合适的工艺条件,同时仍保持在实施例的范围内。
随着第一前体材料被栅极堆叠件117和鳍107的表面吸附,第一前体材料将与位于暴露的表面上的打开的活性位点反应。然而,一旦暴露的表面上所有的打开的活性位点已经与第一前体材料反应,反应将停止,这是因为没有与第一前体材料键合的更多打开的活性位点。该限制导致第一前体材料与栅极堆叠件117和鳍107的暴露的表面的反应是自限制的并且在栅极堆叠件117和鳍107的表面上形成反应的第一前体材料的单层,从而允许更精确地控制第一层203的厚度。
在自限制反应已经完成之后,沉积室219可以净化第一前体材料。例如,控制单元227可以指示前体气体控制器225断开第一前体传送系统211(包含将要从沉积室219中净化的第一前体材料)并且连接净化气体传送系统241以将净化气体传送至沉积室219。在实施例中,净化气体传送系统241可以是气体罐或向沉积室219提供诸如氮气、氩气、氙气或其它非活性气体的净化气体的其它设备。此外,控制单元227也可以开启真空泵239以向沉积室219施加压力差以有助于第一前体材料的去除。净化气体与真空泵239一起可以从沉积室219中净化第一前体材料约3秒。
在第一前体材料的净化已经完成之后,可以通过控制单元227向前体气体控制器225发送指令来断开净化气体传送系统241并且将第二前体传送系统213(包含第二前体材料)连接至沉积室219开始向沉积室219引入第二前体材料(例如,氨)。一旦连接,则第二前体传送系统213可以向喷头231传送第二前体材料。之后,喷头231可以将第二前体材料分散至沉积室219,其中,第二前体材料可以被栅极堆叠件117和鳍107的暴露的表面吸附并且在另一自限制的反应中与第一前体材料反应,以在栅极堆叠件117和鳍107的暴露的表面上形成期望的材料(如,氮化硅)的单层。在特定实施例中,该反应可能以所描述的方程式(1)进行。
3Si2Cl6+26NH3→2Si3N4+18NH4Cl+3H2 (1)
在以上讨论的用六氯乙硅烷形成氮化硅层的实施例中,氨可以以介于约1slm和约10slm之间(诸如约4.5slm)的流速引入至沉积室219约30秒。此外,沉积室219可以保持在介于约1托和约10托之间(诸如约6.98托)的压力下以及介于约570℃和约650℃之间的温度下。然而,本领域中普通技术人员将意识到,这些工艺条件仅仅旨在说明,可以使用任何合适的工艺条件来引入第二前体材料,同时仍保持在实施例的范围内。
在已经形成期望的材料(例如,氮化硅)的单层之后,例如,可以使用来自净化气体传送系统241的净化气体净化沉积室219约三秒(在栅极堆叠117和鳍片107的暴露的表面上留下期望的材料的单层)。在沉积室219已经净化之后,用于形成期望的材料的第一循环已经完成,并且可以开始与第一循环类似的第二循环。例如,重复的循环可以引入第一前体材料、利用净化气体净化、利用第二前体脉冲以及利用净化气体净化。可以重复这些循环直至第一层203具有介于约
Figure GDA0002453640180000151
和约
Figure GDA0002453640180000152
之间(诸如约2nm)的第一厚度T1
然而,本领域中普通技术人员将意识到,以上描述的用于形成第一层203的工艺旨在说明并且不旨在限制实施例。可以使用任何其它合适的工艺,诸如最初脉冲第二前体材料(例如,氨)、利用净化气体净化、引入第一前体材料(例如,六氯乙硅烷)以及利用净化气体净化以完成第一循环并且之后重复第一循环。形成第一层203的这种和任何其它的工艺旨在完全地包括在实施例的范围内。
一旦第一层203已经形成为期望的厚度,第二层205可以原位并且在相同工艺室219中形成在第一层203上方而没有破坏周围环境。在实施例中,在将第一前体放置到第一前体传送系统211并且将第二前体放置到第二前体传送系统213之后,可以通过将第三前体放置到第三前体传送系统215并且将第四前体放置到第四前体传送系统217开始形成第二层205。例如,在实施例中,其中,第二层205是SiOCN,第三前体材料和第四前体材料可以是可以与第一前体材料和第二前体材料一起使用以形成用于第二层205(例如,SiOCN)的材料的前体。在特定实施例中,其中,第一前体材料是Si2Cl6并且第二前体材料是氨,第三前体材料可以是诸如氧气的前体并且可以放置到第三前体传送系统215中。然而,本领域中普通技术人员将意识到,这种前体不是可以用于形成SiOCN层的仅有的前体,并且氧气的使用不旨在限制实施例。可以使用任何合适的相(固体、液体或气体)的任何合适的前体材料(诸如臭氧)来形成SiOCN层,或可以使用的任何其它前体。
此外,第四前体材料可以放置到第四前体传送系统217中。在实施例中,其中,SiOCN层是用于第二层205的期望的材料,第四前体材料可以是可以包含碳的前体材料以与第一前体材料、第二前体材料以及第三前体材料反应以形成SiOCN的单层。例如,在实施例中,其中,第一前体材料是Si2Cl6,第二前体材料是氨,并且第三前体材料是氧气,丙烷(C3H6)可以用作第四前体材料并且可以放置到第四前体传送系统217中。然而,丙烷描述为第四前体材料不旨在限制实施例,并且任何其它合适的前体材料、这些的组合等均可以用作第四前体材料。
一旦第三前体材料和第四前体材料已经分别放置到第三前体传送系统215和第四前体传送系统217中,则通过控制单元227向前体气体控制器225发送指令以将第一前体传送系统211连接至沉积室219来开始第二层205的形成。一旦连接,第一前体传送系统211就可以通过前体气体控制器225和歧管229来向喷头231传送第一前体材料(例如,六氯乙硅烷)。之后,喷头231可以将第一前体材料分散至沉积室219,其中,第一前体材料可以被吸附并且与第一层203的暴露的表面反应。
在形成SiOCN层的实施例中,第一前体材料可以以介于约0.1slm和约0.6slm之间的流速约20秒每循环流入沉积室219。此外,沉积室219可以保持在介于约0.2托和约1托之间(诸如约0.825托)的压力下。此外,形成第二层205的温度可以与形成第一层203的温度相同并且可以介于约570℃和约650℃之间。然而,本领域中普通技术人员将意识到,这些工艺条件仅仅旨在说明,可以使用任何合适的工艺条件,同时仍保持在实施例的范围内。
随着第一前体材料被第一层203的表面吸附,第一前体材料将与位于暴露的表面上的打开的活性位点反应。然而,一旦暴露的表面上所有的打开的活性位点已经与第一前体材料反应,反应将停止,这是因为没有与第一前体材料键合的更多打开的活性位点。该限制导致第一前体材料与第一层203的暴露的表面的反应是自限制的并且在第一层203的表面上形成反应的第一前体材料的单层,从而允许更精确地控制第二层205的厚度。
在自限制反应已经完成之后,沉积室219可以净化第一前体材料。例如,控制单元227可以指示前体气体控制器225断开第一前体传送系统211(包含将要从沉积室219中净化的第一前体材料)并且连接净化气体传送系统241以将净化气体传送至沉积室219。净化气体与真空泵239一起可以从沉积室219中净化第一前体材料约3秒。
在第一前体材料的净化已经完成之后,可以通过控制单元227向前体气体控制器225发送指令来断开净化气体传送系统241并且将第三前体传送系统215(包含第三前体材料)连接至沉积室219开始向沉积室引入第三前体材料(例如,氧气)。一旦连接,则第三前体传送系统215可以向喷头231传送第三前体材料。之后,喷头231可以将第三前体材料分散至沉积室219,其中,第三前体材料可以被第一层203的暴露的表面吸附并且在第一层203的暴露的表面上的另一自限制的反应中与第一前体材料反应。
在以上讨论的用六氯乙硅烷、氧气、丙烷和氨形成SiOCN层的实施例中,氧气可以以介于约1slm和约10slm之间(诸如约5slm)的流速引入至沉积室219约14秒。此外,沉积室219可以保持在介于约1托和约10托之间(诸如约9托)的压力下以及介于约570℃和约650℃之间的温度下。然而,本领域中普通技术人员将意识到,这些工艺条件仅仅旨在说明,可以使用任何合适的工艺条件来引入氧气,同时仍保持在实施例的范围内。
在自限制反应已经完成之后,沉积室219可以净化第三前体材料。例如,控制单元227可以指示前体气体控制器225断开第三前体传送系统215(包含将要从沉积室219中净化的第三前体材料)并且连接净化气体传送系统241以将净化气体传送至沉积室219。净化气体与真空泵239一起可以从沉积室219中净化第三前体材料约3秒。
在第三前体材料的净化已经完成之后,可以通过控制单元227向前体气体控制器225发送指令来断开净化气体传送系统241并且将第四前体传送系统217(包含第四前体材料)连接至沉积室219开始向沉积室219引入第四前体材料(例如,丙烷)。一旦连接,则第四前体传送系统217可以向喷头231传送第四前体材料。之后,喷头231可以将第四前体材料分散至沉积室219,其中,第四前体材料可以被第一层203的暴露的表面吸附并且在第一层203的暴露的表面上的另一自限制的反应中与第一前体材料和第三前体材料的产物反应。
在以上讨论的用六氯乙硅烷、氧气和氨形成SiOCN层的实施例中,丙烷可以以介于约1slm和约10slm之间(诸如约5.5slm)的流速引入至沉积室219约60秒。此外,沉积室219可以保持在介于约10托和约50托之间(诸如约34.87托)的压力下以及介于约570℃和约650℃之间的温度下。然而,本领域中普通技术人员将意识到,这些工艺条件仅仅旨在说明,可以使用任何合适的工艺条件来引入丙烷,同时仍保持在实施例的范围内。
在自限制反应已经完成之后,沉积室219可以净化第四前体材料。例如,控制单元227可以指示前体气体控制器225断开第四前体传送系统217(包含将要从沉积室219中净化的第四前体材料)并且连接净化气体传送系统241以将净化气体传送至沉积室219。净化气体与真空泵239一起可以从沉积室219中净化第四前体材料约3秒。
在第四前体材料的净化已经完成之后,可以通过控制单元227向前体气体控制器225发送指令来断开净化气体传送系统241并且将第二前体传送系统213(包含第二前体材料)连接至沉积室219开始向沉积室219引入第二前体材料(例如,氨)。一旦连接,则第二前体传送系统213可以向喷头231传送第二前体材料。之后,喷头231可以将第二前体材料分散至沉积室219,其中,第二前体材料可以被第一层203的暴露的表面吸附并且在另一自限制的反应中与第一前体材料、第三前体材料和第四前体材料的产物反应以在第一层203的暴露的表面上形成期望的材料(例如,SiOCN)的单层。在特定实施例中,单层的形成可能以所描述的方程式(2)进行。
Si2Cl6+O2+C3H6+NH3→SiwOxCyNz (2)
在以上讨论的用六氯乙硅烷、氧气和丙烷形成SiOCN层的实施例中,氨可以以介于约1slm和约10slm之间(诸如约4.5slm)的流速引入至沉积室219约18秒。此外,沉积室219可以保持在介于约1托和约10托之间(诸如约6.98托)的压力下以及介于约570℃和约650℃之间的温度下。然而,本领域中普通技术人员将意识到,这些工艺条件仅仅旨在说明,可以使用任何合适的工艺条件来引入氨,同时仍保持在实施例的范围内。
在已经形成期望的材料(例如,SiOCN)的单层之后,例如,可以使用来自净化气体传送系统241的净化气体净化沉积室219约三秒(在第一层203的暴露的表面上留下期望的材料的单层)。在沉积室219已经净化之后,用于形成期望的材料的第一循环已经完成,并且可以开始与第一循环类似的第二循环。例如,重复的循环可以引入第一前体材料、利用净化气体净化、利用第三前体脉冲、利用净化气体净化、利用第四前体脉冲、利用净化气体净化、利用第二前体脉冲以及利用净化气体净化。可以重复这些循环直至第二层205具有介于约
Figure GDA0002453640180000191
和约
Figure GDA0002453640180000192
之间(诸如约2nm)的第二厚度T2
然而,本领域中普通技术人员将意识到,以上描述的用于形成第二层205的工艺旨在说明并且不旨在限制实施例。可以使用任何其它合适的工艺,诸如最初脉冲第二前体材料(例如,氨)、利用净化气体净化、引入第一前体材料(例如,六氯乙硅烷)、利用净化气体净化、引入第三前体材料、利用净化气体净化、引入第四前体材料以及利用净化气体净化以完成第一循环并且之后重复第一循环。形成第二层205的这种和任何其它的工艺旨在完全地包括在实施例的范围内。
图3A至图3B示出了去除循环的开始,其中,在第二层205内形成改性层303并且之后在去除工艺中去除改性层303,其中,图3B示出了沿着线B-B’的图3A中的鳍107的截面图。在实施例中,可以使用蚀刻改性剂至第二层205的第一注入(由图3B中标记为301的箭头表示)以改性第二层205(例如,SiOCN)的材料来形成改性层303。在实施例中,蚀刻改性剂的注入设计为改性第二层205的材料的蚀刻性质,使得第二层205的蚀刻特性更接近第一层203的蚀刻特性。在特定实施例中,其中,第二层205是SiOCN,蚀刻改性剂是诸如氮的元素,但是可以使用任何合适的材料。
在实施例中,第一注入301可以是诸如离子注入的工艺,从而期望的蚀刻改性剂的离子可以加速并指向第二层205。该离子注入工艺可以使用加速器系统以加速蚀刻改性剂的离子具有从约0.2keV至约1.5keV的能量,诸如约0.7keV。
此外,为了沿着期望的表面注入蚀刻改性剂,可以随着注入角度的持续改变实施第一注入301。在示例性实施例中,第一注入301可以以介于约30°和约42°之间(诸如约30°)的第一角度α1(由惟一的实线箭头301表示)开始。一旦开始,第一注入301的注入角可以以介于约1°/sec和约10°/sec之间(诸如约2°/sec)的速率改变,直至第一注入301已经将蚀刻改性剂注入至第二层205的相对侧壁。在一个实施例中,可以改变注入角直至第一注入301将蚀刻改性剂以介于约30°和约42°之间(诸如约42°)的第二角度α2注入至第二层205。然而,可以使用任何合适的角度。
通过使用第一注入301将蚀刻改性剂注入至第二层205,在第二层205内形成第一改性层303。在实施例中,第一改性层303可以具有介于约1E15/cm3至约1E16/cm3之间(诸如约5E15/cm3)的蚀刻改性剂的浓度,但是可以可选地使用任何合适的浓度。此外,第一改性层303可以具有介于约2nm和约4nm之间(诸如约4nm)的第三厚度T3。然而,可以使用任何合适的厚度。
然而,虽然第一注入301将蚀刻改性剂注入至第二层205的三个表面(例如,顶面和两个侧面),但是第一注入301没有将蚀刻改性剂注入至(或仅偶然注入)其它表面。例如,面向与鳍107的纵轴平行的方向的第二层的表面没有通过第一注入301注入。
图4示出了一旦第一改性层303已经形成在第二层205内,可以去除第一改性层303。在实施例中,可以使用诸如湿蚀刻的蚀刻工艺(由图4中标记为401的“X”表示)去除第一改性层303,该湿蚀刻使用对改性层(例如,掺杂有氮的SiOCN)的材料具有选择性的蚀刻剂。在特定实施例中,该蚀刻剂可以是诸如磷酸(H3PO4)的蚀刻剂,但是可以使用诸如以1:500比例稀释的HF的任何合适的蚀刻剂。
在实施例中,其中,蚀刻工艺401是湿蚀刻,可以在介于约80℃和约200℃之间(诸如约160℃)的温度下实施蚀刻工艺401。此外,湿蚀刻可以实施介于约10s和约60s之间(诸如约20秒)的时间。然而,可以使用任何合适的参数。
此外,因为邻近于栅电极材料111并且直接位于鳍107上方的第二层205的侧壁没有被直接注入并且不具有蚀刻改性剂或仅具有偶然地结合在其中的蚀刻改性剂,所以如果去除完全,在湿蚀刻工艺期间,仅最小程度地去除邻近于栅电极材料111并且直接位于鳍107上方的第二层205的侧壁(将成为间隔件703的那部分)。
图5A至图5B(其中,图5B示出了沿着线B-B’的图5A的一个鳍107的截面图)示出了一旦已经实施第一注入301(见图3A至图3B)形成改性层303,并且已经实施蚀刻工艺401(见图4)去除改性层303,则可以重复去除工艺一次或多次以持续去除第二层205并且之后从鳍107的表面上方去除第一层203并且完全地暴露鳍107以用于进一步处理。在实施例中,可以额外地实施一次至两次的形成改性区域的第一注入301和随后的去除改性区域的蚀刻工艺的循环(在参照图3A至图4的以上描述的第一循环之后),其中,每次循环去除介于约
Figure GDA0002453640180000211
和约
Figure GDA0002453640180000212
之间(诸如约
Figure GDA0002453640180000213
)的第二层205或第一层203。
可选地,一旦从鳍107去除第一层203的最后的部分的最后的循环已经完成,可以实施最终的、额外的去除工艺以确保去除了第一层(例如,氮化硅)的任何剩余的材料并且鳍107准备好用于附加处理。在实施例中,额外的去除工艺可以包括重复先前用于去除改性层的蚀刻工艺。例如,额外的去除工艺可以是使用磷酸约20秒时间的湿蚀刻,但是可以使用任何合适的去除工艺。
在另一实施例中,可以在不使用第一注入301的情况下去除第一层203。在这个实施例中,一旦第二层205已经去除(如上所述),则使用湿蚀刻工艺(例如,使用磷酸的湿蚀刻)去除第一层203以完全地去除第一层203(例如,氮化硅)的材料。然而,任何合适的工艺均可以用于去除第一层203。
通过使用第一层203和第二层205的双层结构,鳍107的侧壁可以比单独去除第二层205的单一材料更干净,并且可以减少总处理时间。例如,如果单独使用8nm的SiOCN层以及重复4次的包括0.7KeV的功率、在30°-42°之间的倾斜角处的5E15的浓度、重复注入12次(12X)和20秒的磷酸的工艺,则沿着鳍107的侧壁的残留物可能在从2.8nm至3.2nm的范围内。然而,在如此处描述的实施例中,具有SiN层(1nm)以及SiOCN层(7nm),重复三次0.7KeV的功率、在30°-38°之间的倾斜角处的5E15的浓度、12X注入和20秒的磷酸以及随后的35秒磷酸的清洗蚀刻,该残留物小于1nm,诸如介于0.89nm和0.99nm之间。
此外,通过使用直接邻近于栅电极材料111的第一层203,第一层203(例如,氮化硅)的高质量材料可以有助于避免注入和蚀刻损坏(否则,该损坏会在鳍侧壁发生)并且有助于减少凹槽多晶硅栅极层间氧化物(RPGI/O)去除内膜损失。最后,通过采用双层结构,可以实现鳍侧壁回蚀刻速率的50%改进。
图6A至图6B示出了使用双层结构的结果的测试数据。首先看图6A,图6A示出了对四种不同类型的材料的总去除量,四种不同类型的材料为:(1)已经掺杂有氮的SiOCN的单层,(2)没有掺杂氮的SiOCN的单层,(3)氮化硅和SiOCN的双层结构,其中,SiOCN已经被注入以及(4)氮化硅和SiOCN的双层结构,其中,SiOCN没有被注入。可以看出,氮化硅和SiOCN的双层结构(其中,SiOCN已经被注入)在使用H3PO4的第一蚀刻20秒之后,已经去除
Figure GDA0002453640180000221
这大于掺杂的SiOCN的单层的
Figure GDA0002453640180000222
SiOCN的单层的
Figure GDA0002453640180000223
以及氮化硅和SiOCN的双层结构(其中,SiOCN没有被注入)的
Figure GDA0002453640180000224
此外,在使用H3PO4的第二湿蚀刻另一20秒之后,氮化硅和SiOCN的双层结构(其中,SiOCN已经被注入)已经去除
Figure GDA0002453640180000225
这大于掺杂的SiOCN的单层的
Figure GDA0002453640180000226
SiOCN的单层的
Figure GDA0002453640180000227
以及氮化硅和SiOCN的双层结构(其中,SiOCN没有被注入)的
Figure GDA0002453640180000228
鉴于此,可以获得材料的去除速率的大于50%的改进。
图6B示出了两种不同元件的对比,该两种不同元件为(1)在8nm处已经注入的SiOCN的单层以及(2)已经注入的SiN层(1nm)和SiOCN层(7nm)的双层结构。该数据示出了如此处描述的已经去除SiOCN或者SiOCN和氮化硅之后的来自鳍107的结果。可以看出,因为这里没有碳信号,因此表明SiOCN已经从鳍107去除,同时氮的存在来自发生的注入。然而,SiOCN的单层具有更高的氧峰,这说明在没有氮化硅层的情况下,存在来自氮注入的额外的损坏。最后,99eV峰处的单硅峰表明存在Si-Si键,这表明任何外延生长都将提供更好的材料质量。
图7A至图7B示出了,一旦已经形成间隔件703,则可以在鳍107上生长源极/漏极区域701。在实施例中,可以生长源极/漏极区域701并且,在一些实施例中,可以生长源极/漏极区域701以形成应力源,该应力源将对位于栅极堆叠件117(诸如SiGeB)下面的鳍107的沟道区域施加应力。在实施例中,其中,鳍107包括硅并且FinFET是p-型器件,可以使用诸如硅的材料或者诸如硅锗的材料(具有与沟道区域不同的晶格常数)通过选择性外延工艺生长源极/漏极区域701。该外延工艺可以使用诸如硅烷、二氯硅烷、锗烷等的前体,并且可以持续介于约5分钟和约120分钟之间,诸如约30分钟。
一旦形成源极/漏极区域701,可以通过注入适当地掺杂剂将掺杂剂注入至源极/漏极区域701以补充鳍107中的掺杂剂。例如,可以注入诸如硼、镓、铟等的p-型掺杂剂以形成PMOS器件。可选地,可以注入诸如磷、砷、锑等的n-型掺杂剂以形成NMOS器件。这些掺杂剂可以使用栅极堆叠件117和第一间隔件703作为掩模注入。应该注意,本领域中普通技术人员将意识到,可以使用许多其它工艺、步骤等注入掺杂剂。例如,本领域中普通技术人员将意识到,可以使用间隔件和衬垫的各种组合来实施多种注入以形成具有适合于特定目的的特定形状或特性的源极/漏极区域。任何这些工艺都可以用于注入掺杂剂,并且以上描述不意味着将本发明限制于以上呈现的步骤。
图7B示出了具体实施例,其中,使用了具有氮注入的氮化硅(1nm)和SiOCN(7nm)的双层。在这个实施例中,使用湿蚀刻工艺(使用具有诸如H3PO4的蚀刻剂的湿蚀刻)去除氮化硅和SiOCN的双层。一旦湿蚀刻工艺已经完成,之后,干蚀刻工艺(具有,例如,NF3和NH3)用于修整鳍并且清洗鳍侧壁表面上的残留物以为下一外延工艺做准备。通过利用这种双层方法,形成的外延硅锗或硅磷将具有改进的质量。此外,随着鳍107的侧壁的清洗以及对相应的残留物的量的减少,可以实现更好的外延轮廓,以允许更好的控制整个工艺。
此外,在源极/漏极区域701的形成之后,可以实施进一步处理。在一些实施例中,可以在源极/漏极区域701上形成诸如硅锗或硅磷的硅化物,可以在源极/漏极区域701上方形成层间电介质(未单独示出),并且可以实施置换栅极工艺,从而将栅电极材料111的材料去除并且用另一导电材料替换。可以实施任何合适的进一步的工艺并且所有这些工艺均完全地包括在实施例的范围内。
根据实施例,制造半导体器件的方法包括在半导体衬底上方形成半导体鳍并且在半导体鳍的部分上方形成栅极堆叠件。在半导体鳍和栅极堆叠件上形成间隔件材料,其中,形成间隔件材料进一步包括使用原子层沉积以将第一材料沉积在半导体鳍上并且使用原子层沉积以将第二材料沉积在第一材料上,其中,第二材料与第一材料不同。从半导体鳍去除间隔件材料,其中,去除间隔件材料进一步包括将蚀刻改性剂注入至间隔件材料以形成改性的间隔件材料并且去除改性的间隔件材料。
在上述方法中,其中,去除所述间隔件材料还包括:在去除所述改性的间隔件材料之后,将所述蚀刻改性剂注入至所述间隔件材料以形成第二改性的间隔件材料;以及去除所述第二改性的间隔件材料。
在上述方法中,其中,去除所述间隔件材料还包括:在去除所述改性的间隔件材料之后,将所述蚀刻改性剂注入至所述间隔件材料以形成第二改性的间隔件材料;以及去除所述第二改性的间隔件材料,去除所述间隔件材料还包括:在去除所述第二改性的间隔件材料之后,将所述蚀刻改性剂注入至所述间隔件材料以形成第三改性的间隔件材料;以及去除所述第三改性的间隔件材料。
在上述方法中,其中,去除所述间隔件材料还包括:在去除所述改性的间隔件材料之后,将所述蚀刻改性剂注入至所述间隔件材料以形成第二改性的间隔件材料;以及去除所述第二改性的间隔件材料,去除所述间隔件材料还包括:在去除所述第二改性的间隔件材料之后,将所述蚀刻改性剂注入至所述间隔件材料以形成第三改性的间隔件材料;以及去除所述第三改性的间隔件材料,去除所述间隔件材料还包括在去除所述第三改性的间隔件材料之后,实施湿蚀刻。
在上述方法中,其中,所述第一材料是氮化硅。
在上述方法中,其中,所述第一材料是氮化硅,所述第二材料是SiOCN。
在上述方法中,其中,所述第一材料是氮化硅,所述第二材料是SiOCN,所述蚀刻改性剂是氮。
在上述方法中,其中,所述第一材料是氮化硅,所述第二材料是SiOCN,所述蚀刻改性剂是氮,去除所述改性的间隔件材料包括使用磷酸的至少部分湿蚀刻。
根据另一实施例,制造半导体器件的方法包括直接在半导体鳍上沉积第一间隔件材料并且直接在第一间隔件材料上沉积第二间隔件材料以形成双层间隔件材料。使用第一循环去除双层间隔件材料的第一部分,其中,第一循环包括将蚀刻改性剂注入至双层间隔件材料并且在注入蚀刻改性剂之后,湿蚀刻双层间隔件材料。去除双层间隔件材料的第二部分,其中,去除双层间隔件材料的第二部分包括重复第一循环一次或多次。
在上述方法中,其中,至少部分地利用使用第一前体的原子层沉积工艺来实施所述第一间隔件材料的沉积并且至少部分地利用使用所述第一前体的原子层沉积工艺来实施所述第二间隔件材料的沉积。
在上述方法中,其中,至少部分地利用使用第一前体的原子层沉积工艺来实施所述第一间隔件材料的沉积并且至少部分地利用使用所述第一前体的原子层沉积工艺来实施所述第二间隔件材料的沉积,所述第一前体是六氯乙硅烷。
在上述方法中,其中,至少部分地利用使用第一前体的原子层沉积工艺来实施所述第一间隔件材料的沉积并且至少部分地利用使用所述第一前体的原子层沉积工艺来实施所述第二间隔件材料的沉积,所述第一前体是六氯乙硅烷,沉积所述第一间隔件材料和沉积所述第二间隔件材料是彼此原位实施的。
在上述方法中,其中,至少部分地利用使用第一前体的原子层沉积工艺来实施所述第一间隔件材料的沉积并且至少部分地利用使用所述第一前体的原子层沉积工艺来实施所述第二间隔件材料的沉积,所述第一前体是六氯乙硅烷,沉积所述第一间隔件材料和沉积所述第二间隔件材料是彼此原位实施的,在第一温度下实施沉积所述第一间隔件材料并且在所述第一温度下实施沉积所述第二间隔件材料。
在上述方法中,其中,重复所述第一循环一次或多次为实施至少两次。
在上述方法中,其中,重复所述第一循环一次或多次为实施至少两次,所述方法还包括在重复所述第一循环之后,实施清洗蚀刻,其中,所述清洗蚀刻利用第一蚀刻剂并且所述湿蚀刻利用所述第一蚀刻剂。
根据又另一实施例,制造半导体器件的方法包括沉积与半导体鳍直接接触的氮化硅并且沉积与氮化硅直接接触的碳氮氧化硅。将氮注入至碳氮氧化硅并且使用一种或多种湿蚀刻去除碳氮氧化硅和氮化硅。
在上述方法中,其中,使用移动注入工艺实施所述氮的注入。
在上述方法中,其中,沉积所述氮化硅将所述氮化硅沉积至2nm的厚度。
在上述方法中,其中,沉积所述碳氮氧化硅将所述碳氮氧化硅沉积至2nm的厚度。
在上述方法中,还包括在通过去除所述碳氮氧化硅和所述氮化硅暴露的表面上生长源极/漏极区域。
上面概述了若干实施例的特征,使得本领域人员可以更好地理解本发明的方面。本领域人员应该理解,他们可以容易地使用本发明作为基础来设计或修改用于实施与本人所介绍实施例相同的目的和/或实现相同优势的其他工艺和结构。本领域技术人员也应该意识到,这种等同构造并不背离本发明的精神和范围,并且在不背离本发明的精神和范围的情况下,本文中他们可以做出多种变化、替换以及改变。

Claims (20)

1.一种制造半导体器件的方法,所述方法包括:
在半导体衬底上方形成半导体鳍;
在所述半导体鳍的部分上方形成栅极堆叠件;
在所述半导体鳍和所述栅极堆叠件上形成间隔件材料,其中,形成所述间隔件材料还包括:
使用原子层沉积以将第一材料沉积在所述半导体鳍上;
使用原子层沉积以将第二材料沉积在所述第一材料上,其中,所述第二材料与所述第一材料不同;
从所述半导体鳍去除所述间隔件材料,其中,去除所述间隔件材料还包括:
将蚀刻改性剂注入至所述间隔件材料以形成第一改性的间隔件材料;和
去除所述第一改性的间隔件材料;
在去除所述第一改性的间隔件材料之后,将所述蚀刻改性剂注入至所述间隔件材料以形成第二改性的间隔件材料;以及
去除所述第二改性的间隔件材料。
2.根据权利要求1所述的方法,其中,在注入所述蚀刻改性剂期间,所述注入的角度是持续变化的。
3.根据权利要求2所述的方法,其中,去除所述间隔件材料还包括:
在去除所述第二改性的间隔件材料之后,将所述蚀刻改性剂注入至所述间隔件材料以形成第三改性的间隔件材料;以及
去除所述第三改性的间隔件材料。
4.根据权利要求3所述的方法,其中,去除所述间隔件材料还包括在去除所述第三改性的间隔件材料之后,实施湿蚀刻。
5.根据权利要求1所述的方法,其中,所述第一材料是氮化硅。
6.根据权利要求5所述的方法,其中,所述第二材料是SiOCN。
7.根据权利要求6所述的方法,其中,所述蚀刻改性剂是氮。
8.根据权利要求7所述的方法,其中,去除所述第一改性的间隔件材料包括使用磷酸的至少部分湿蚀刻。
9.一种制造半导体器件的方法,所述方法包括:
直接在半导体鳍上沉积第一间隔件材料;
直接在所述第一间隔件材料上沉积第二间隔件材料以形成双层间隔件材料;
使用第一循环去除所述双层间隔件材料的第一部分,其中,所述第一循环包括:
将蚀刻改性剂注入至所述双层间隔件材料;和
在注入所述蚀刻改性剂之后,湿蚀刻所述双层间隔件材料;以及
去除所述双层间隔件材料的第二部分,其中,去除所述双层间隔件材料的所述第二部分包括重复所述第一循环一次或多次。
10.根据权利要求9所述的方法,其中,至少部分地利用使用第一前体的原子层沉积工艺来实施所述第一间隔件材料的沉积并且至少部分地利用使用所述第一前体的原子层沉积工艺来实施所述第二间隔件材料的沉积。
11.根据权利要求10所述的方法,其中,所述第一前体是六氯乙硅烷。
12.根据权利要求11所述的方法,其中,沉积所述第一间隔件材料和沉积所述第二间隔件材料是彼此原位实施的。
13.根据权利要求12所述的方法,其中,在第一温度下实施沉积所述第一间隔件材料并且在所述第一温度下实施沉积所述第二间隔件材料。
14.根据权利要求9所述的方法,其中,重复所述第一循环一次或多次为实施至少两次。
15.根据权利要求14所述的方法,还包括在重复所述第一循环之后,实施清洗蚀刻,其中,所述清洗蚀刻利用第一蚀刻剂并且所述湿蚀刻利用所述第一蚀刻剂。
16.一种制造半导体器件的方法,所述方法包括:
沉积与半导体鳍直接接触的氮化硅;
沉积与所述氮化硅直接接触的碳氮氧化硅;
将氮注入至所述碳氮氧化硅,以形成第一改性层;
蚀刻去除所述碳氮氧化硅的所述第一改性层,从而在所述氮化硅上留下所述碳氮氧化硅的剩余部分;
将氮注入至所述碳氮氧化硅的所述剩余部分,以形成第二改性层;以及
使用一种或多种湿蚀刻去除所述碳氮氧化硅的所述第二改性层和所述氮化硅。
17.根据权利要求16所述的方法,其中,使用移动注入工艺实施所述氮的注入。
18.根据权利要求16所述的方法,其中,沉积所述氮化硅将所述氮化硅沉积至2nm的厚度。
19.根据权利要求16所述的方法,其中,沉积所述碳氮氧化硅将所述碳氮氧化硅沉积至2nm的厚度。
20.根据权利要求16所述的方法,还包括在通过去除所述碳氮氧化硅和所述氮化硅暴露的表面上生长源极/漏极区域。
CN201710729426.4A 2016-11-29 2017-08-23 半导体器件和制造方法 Active CN108122770B (zh)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201662427588P 2016-11-29 2016-11-29
US62/427,588 2016-11-29
US15/404,772 US10211318B2 (en) 2016-11-29 2017-01-12 Semiconductor device and method of manufacture
US15/404,772 2017-01-12

Publications (2)

Publication Number Publication Date
CN108122770A CN108122770A (zh) 2018-06-05
CN108122770B true CN108122770B (zh) 2020-09-04

Family

ID=62117544

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201710729426.4A Active CN108122770B (zh) 2016-11-29 2017-08-23 半导体器件和制造方法

Country Status (5)

Country Link
US (2) US10211318B2 (zh)
KR (1) KR102030243B1 (zh)
CN (1) CN108122770B (zh)
DE (1) DE102017117798A1 (zh)
TW (1) TWI671807B (zh)

Families Citing this family (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR102580108B1 (ko) * 2018-03-20 2023-09-18 도쿄엘렉트론가부시키가이샤 통합된 단부-대-단부 영역-선택적 침착 프로세스를 위한 플랫폼 및 동작 방법
US10930767B2 (en) 2018-07-16 2021-02-23 Taiwan Semiconductor Manufacturing Co., Ltd. Fin-like field effect transistor patterning methods for achieving fin width uniformity
CN110047749B (zh) * 2019-03-21 2020-12-18 中国电子科技集团公司第五十五研究所 一种射频ldmos平坦化工艺中氮化硅的去除方法
US11430865B2 (en) * 2020-01-29 2022-08-30 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and method
DE102020114867A1 (de) 2020-01-29 2021-07-29 Taiwan Semiconductor Manufacturing Co., Ltd. Halbleitervorrichtung und verfahren
US11398384B2 (en) 2020-02-11 2022-07-26 Taiwan Semiconductor Manufacturing Company, Ltd. Methods for manufacturing a transistor gate by non-directional implantation of impurities in a gate spacer

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20110101455A1 (en) * 2009-11-03 2011-05-05 International Business Machines Corporation Finfet spacer formation by oriented implantation
US20140187046A1 (en) * 2012-12-28 2014-07-03 Commissariat A L'energie Atomique Et Aux Ene Alt Method for forming spacers for a transitor gate
US20160284837A1 (en) * 2015-03-24 2016-09-29 Jinbum Kim Semiconductor device having stressor and method of fabricating the same

Family Cites Families (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20060094194A1 (en) * 2004-11-04 2006-05-04 Taiwan Semiconductor Manufacturing Company, Ltd. Advanced disposable spacer process by low-temperature high-stress nitride film for sub-90NM CMOS technology
US8487378B2 (en) 2011-01-21 2013-07-16 Taiwan Semiconductor Manufacturing Company, Ltd. Non-uniform channel junction-less transistor
US8887106B2 (en) 2011-12-28 2014-11-11 Taiwan Semiconductor Manufacturing Company, Ltd. Method of generating a bias-adjusted layout design of a conductive feature and method of generating a simulation model of a predefined fabrication process
US8729634B2 (en) 2012-06-15 2014-05-20 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET with high mobility and strain channel
JP6024484B2 (ja) * 2013-01-29 2016-11-16 東京エレクトロン株式会社 成膜方法及び成膜装置
US8826213B1 (en) 2013-03-11 2014-09-02 Taiwan Semiconductor Manufacturing Company, Ltd. Parasitic capacitance extraction for FinFETs
US8943455B2 (en) 2013-03-12 2015-01-27 Taiwan Semiconductor Manufacturing Company, Ltd. Methods for layout verification for polysilicon cell edge structures in FinFET standard cells
US9293534B2 (en) * 2014-03-21 2016-03-22 Taiwan Semiconductor Manufacturing Company, Ltd. Formation of dislocations in source and drain regions of FinFET devices
US9171736B2 (en) 2014-03-03 2015-10-27 Tokyo Electron Limited Spacer material modification to improve K-value and etch properties
US9337316B2 (en) 2014-05-05 2016-05-10 Taiwan Semiconductor Manufacturing Company, Ltd. Method for FinFET device
US9876098B2 (en) * 2016-01-15 2018-01-23 Taiwan Semiconductor Manufacturing Company, Ltd. Method of forming a gate spacer
US9935199B2 (en) * 2016-01-15 2018-04-03 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET with source/drain structure

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20110101455A1 (en) * 2009-11-03 2011-05-05 International Business Machines Corporation Finfet spacer formation by oriented implantation
US20140187046A1 (en) * 2012-12-28 2014-07-03 Commissariat A L'energie Atomique Et Aux Ene Alt Method for forming spacers for a transitor gate
US20160284837A1 (en) * 2015-03-24 2016-09-29 Jinbum Kim Semiconductor device having stressor and method of fabricating the same

Also Published As

Publication number Publication date
TWI671807B (zh) 2019-09-11
US10211318B2 (en) 2019-02-19
CN108122770A (zh) 2018-06-05
TW201830493A (zh) 2018-08-16
DE102017117798A1 (de) 2018-05-30
US20190181247A1 (en) 2019-06-13
KR102030243B1 (ko) 2019-10-10
US20180151699A1 (en) 2018-05-31
KR20180060955A (ko) 2018-06-07
US10879377B2 (en) 2020-12-29

Similar Documents

Publication Publication Date Title
CN108122770B (zh) 半导体器件和制造方法
US10727066B2 (en) Semiconductor device and methods of manufacture
US11508849B2 (en) Semiconductor device and methods of manufacture
US10950603B2 (en) Semiconductor device and method
US11600530B2 (en) Semiconductor device and method of manufacture
CN107564853B (zh) 半导体器件及其形成方法
US20230197524A1 (en) Semiconductor Device and Method of Manufacture
CN113658916A (zh) 半导体器件及其制造方法

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant