CN107077644A - 用于组合来自多个计量工具的原始数据的系统、方法及计算机程序产品 - Google Patents

用于组合来自多个计量工具的原始数据的系统、方法及计算机程序产品 Download PDF

Info

Publication number
CN107077644A
CN107077644A CN201580059581.9A CN201580059581A CN107077644A CN 107077644 A CN107077644 A CN 107077644A CN 201580059581 A CN201580059581 A CN 201580059581A CN 107077644 A CN107077644 A CN 107077644A
Authority
CN
China
Prior art keywords
signal
parameter
group
metering outfit
training component
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CN201580059581.9A
Other languages
English (en)
Other versions
CN107077644B (zh
Inventor
S·潘戴夫
T·奇乌拉
A·舒杰葛洛夫
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
KLA Corp
Original Assignee
KLA Tencor Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by KLA Tencor Corp filed Critical KLA Tencor Corp
Publication of CN107077644A publication Critical patent/CN107077644A/zh
Application granted granted Critical
Publication of CN107077644B publication Critical patent/CN107077644B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06NCOMPUTING ARRANGEMENTS BASED ON SPECIFIC COMPUTATIONAL MODELS
    • G06N20/00Machine learning

Landscapes

  • Engineering & Computer Science (AREA)
  • Theoretical Computer Science (AREA)
  • Software Systems (AREA)
  • General Physics & Mathematics (AREA)
  • Physics & Mathematics (AREA)
  • Medical Informatics (AREA)
  • Evolutionary Computation (AREA)
  • Data Mining & Analysis (AREA)
  • Computing Systems (AREA)
  • General Engineering & Computer Science (AREA)
  • Computer Vision & Pattern Recognition (AREA)
  • Mathematical Physics (AREA)
  • Artificial Intelligence (AREA)
  • Testing Or Measuring Of Semiconductors Or The Like (AREA)
  • Length Measuring Devices By Optical Means (AREA)
  • Automation & Control Theory (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Investigating Or Analysing Materials By Optical Means (AREA)

Abstract

本发明提供一种用于组合来自多个计量工具的原始数据的系统、方法及计算机程序产品。获得关于培训组件的至少一个参数的参考值。利用第一计量工具及不同的第二计量工具收集关于所述培训组件的所述至少一个参数的信号。此外,将所述信号的至少一部分变换为一组信号,且针对所述培训组件的所述至少一个参数中的每一者,确定所述组信号与所述参考值之间的对应关系并据此创建对应培训模型。利用至少所述第一计量工具及所述第二计量工具从目标组件收集信号,且将每一所创建培训模型应用于从所述目标组件收集的所述信号以测量所述目标组件的参数值。

Description

用于组合来自多个计量工具的原始数据的系统、方法及计算 机程序产品
相关申请案
本申请案主张2014年11月19日提出申请的第62/082,097号美国临时专利申请案的权益,所述美国临时专利申请案的全部内容以引用的方式并入本文中。
技术领域
本发明涉及计量工具,且更明确地说涉及混合式计量工具。
背景技术
计量通常涉及测量目标组件的各种物理特征。举例来说,可使用计量工具来测量目标组件的结构及材料特性(例如,材料组成、结构的尺寸特性及/或结构的临界尺寸等)。在半导体计量的实例中,可使用计量工具来测量所制作半导体组件的各种物理特征。
一旦获得计量测量,便可对所述测量进行分析。此分析通常涉及具有目标组件所特有的参数的预定义值(即,目标组件的参数模型)的库。明确地说,所述库可包含用于浮动参数的值范围。接着可使用所述库来提供快速数学近似法,在给出用于参数模型的一组值的情况下,所述快速数学近似法可迅速地以合理准确度重现具有目标组件的系统的解。
在一些情形中,使用多个不同计量工具来测量目标组件是合意的。此技术通常称作“混合式计量”。然而,此需要以某一方式组合来自不同计量工具的数据集以实现综合测量结果。
采用多个不同计量工具的原因可能有许多,例如个别计量工具的不充分测量性能。此时期望可将使用不同测量技术的两个或两个以上计量工具与根据其特定强度所使用的每一技术进行组合以产生满足稳定性及过程跟踪的规范的对目标组件的所有临界尺寸及组成参数的总测量。A.瓦伊德(A.Vaid)等人在“整体计量方法:利用散射测量、CD-AFM及CD-SEM的混合式计量(A Holistic Metrology Approach:Hybrid Metrology UtilizingScatterometry,CD-AFM,and CD-SEM)”(SPIE会议录第7971(2011)卷)中描述了现有混合式计量工具的一个实例。
遗憾地,已知混合式计量工具展现各种局限性。举例来说,混合式计量成功的关键在于用以组合来自每一工具的测量结果的精确方法。由于既没有任何计量工具能够以完美准确性及精确度进行测量,且所有计量工具又并非完全一致的,因此如果测量的这些方面未在某种程度上得到减轻,那么可出现测量误差。因此,其中来自工具A的测量结果被向前馈送到工具B的模型且保持固定不变的“注入”或简单向前馈送技术通常被视为不稳健的。
可使用替代技术(此处称为“结果数据变换”),借此计量工具之间的已知偏移量以及可能相关斜率误差在于工具之间传递数据之前得以被校正。也可能进行工具误差的较高阶校正。A.瓦伊德等人在“1X节点技术的混合式计量解决方案(Hybrid metrologysolution for 1X node technology)”(SPIE会议录第8324(2012)卷)中描述了此技术的实例。在所述文献中,引入了‘数据修改参数’(DMP)(偏移量、匹配、准确性、…)及‘DMP强度’的概念。DMP强度是控制来自工具A的数据被工具B使用的程度的比例因子。未描述使用DMP强度的明确方式。
最近,正探索混合式计量的被称作‘共同优化’的第三种方法,在所述方法中,对将要组合的所有工具执行的测量的模型被同时进行回归运算,其中以某一方式约束两个(或全部)计量工具共同的模型参数。已报告此技术与临界尺寸-扫描电子显微术(CD-SEM)测量的混合的几个近期应用。在A.瓦伊德的“混合式计量通用引擎:共同优化(Hybridmetrology universal engine:co-optimization)”(SPIE会议录第9050(2014)卷)中,此混合式方法的成功依赖于先前对由CD-SEM报告的侧壁角度相依CD值进行的校准以及接着在实际混合式测量期间使用光学CD(OCD)所报告侧壁角度(SWA)值对CD-SEM CD值进行的校正。J.哈泽德(J.Hazart)等人在“CD计量的数据融合:散射测量、CDSEM及AFM数据的异质混合(Data Fusion for CD Metrology:Heterogeneous Hybridization of Scatterometry,CDSEM,and AFM data)”(SPIE会议录第9050(2014)卷)中描述了此混合式方法的另一实例,其中将OCD数据与CD-SEM图像数据的集约模型进行组合。
虽然近期趋势指示朝向共同优化方法的发展,但其它技术可是优选的,这是因为就混合式解决方案供应商来说,共同优化需要跨越极不同计量工具(其可由竞争供应商制造)进行的测量算法及校准方法的详尽工作知识。
因此需要解决与检验系统的现有技术实施方案相关联的这些及/或其它问题。
发明内容
本发明提供一种用于组合来自多个计量工具的原始数据的系统、方法及计算机程序产品。在使用中,识别培训组件,且获得关于所述培训组件的至少一个参数的参考值。利用第一计量工具收集关于所述培训组件的所述至少一个参数的第一组信号,且利用不同于所述第一计量工具的第二计量工具收集关于所述培训组件的所述至少一个参数的第二组信号。此外,将所述第一组信号及所述第二组信号中的每一者的至少一部分变换为第三组信号,且针对所述培训组件的所述至少一个参数中的每一者,确定所述第三组信号与所述参考值之间的对应关系并据此创建对应培训模型。利用至少所述第一计量工具及所述第二计量工具从目标组件收集信号,且将每一所创建培训模型应用于从所述目标组件收集的所述信号以测量所述目标组件的参数值。
附图说明
图1展示根据现有技术的示范性计量工具的示意图。
图2图解说明根据一实施例的用于组合来自多个计量工具的原始数据的方法。
图3图解说明根据另一实施例的用于将依据组合来自多个计量工具的原始数据而测量的装置参数传递到计量工具的模型的方法。
图4图解说明根据另一实施例的用于组合来自多个计量工具的原始数据的系统。
具体实施方式
在半导体计量领域中,计量工具可包括:照明系统,其对目标进行照明;收集系统,其俘获通过照明系统与目标、装置或特征的相互作用(或缺乏相互作用)而提供的相关信息;及处理系统,其分析使用一或多个算法收集的信息。计量工具可用于测量与各种半导体制作过程相关联的结构及材料特性(例如,材料组成、结构及膜的尺寸特性(例如膜厚度及/或结构的临界尺寸)、叠对等)。这些测量用于促进半导体裸片的制造中的过程控制及/或合格效率。
计量工具可包括一或多个硬件配置,所述硬件配置可连同本发明之某些实施例一起用于(例如)测量各种前述半导体结构及材料特性。此些硬件配置的实例包含但不限于以下各项:
光谱椭偏计(SE)
具有多个照明角度的SE
测量米勒(Mueller)矩阵元(例如,使用旋转补偿器)的SE
单波长椭偏计
光束轮廓椭偏计(角分辨椭偏计)
光束轮廓反射计(角分辨反射计)
宽带反射性光谱仪(光谱反射计)
单波长反射计
角分辨反射计
成像系统
散射计(例如,散斑分析仪)
硬件配置可分离成离散操作系统。另一方面,一或多个硬件配置可组合于单个工具中。图1中展示多个硬件配置在单个工具中的此一组合的一个实例,所述实例从第7,933,026号美国专利并入本文中,所述美国专利特此出于所有目的以其全文引用的方式并入。举例来说,图1展示示范性计量工具的示意图,所述示范性计量工具包括:a)宽带SE(即,18);b)具有旋转补偿器(即,98)的SE(即,2);c)光束轮廓椭偏计(即,10);d)光束轮廓反射计(即,12);e)宽带反射性光谱仪(即,14);及f)深紫外线反射性光谱仪(即,16)。另外,此类系统中通常存在众多光学元件,包含一些透镜、准直器、反射境、1/4波片、偏振器、检测器、相机、光圈及/或光源。用于光学系统之波长可从约120nm到3微米地变化。对于非椭偏计系统,所收集的信号可是经偏振分辨的或未偏振的。图1提供集成于相同工具上的多个计量头的图解。然而,在许多情形中,使用多个计量工具来对单个或多个计量目标进行测量。举例来说,第7,478,019号美国专利“多个工具及结构分析(Multiple tool and structureanalysis)”对此进行了描述,所述美国专利也特此出于所有目的以其全文引用的方式并入。
某些硬件配置的照明系统包含一或多个光源。所述光源可产生具有仅一个波长的光(即,单色光)、具有若干离散波长的光(即,多色光)、具有多个波长的光(即,宽带光)及/或扫过若干波长(连续地或在波长之间进行跳变)的光(即,可调谐源或扫频源)。适合光源的实例为:白色光源;紫外线(UV)激光器;弧光灯或无电极灯;激光引发等离子体(LSP)源,举例来说,可从马萨诸塞州沃本市的高能科技公司(Energetiq Technology,Inc.)购得的LSP源;超连续源(例如宽带激光源),例如可从新泽西州摩根维尔市的NKT光电公司(NKTPhotonics Inc.)购得的超连续源;或较短波长源,例如x射线源、极UV源或其某一组合。所述光源还可经配置以提供具有充分亮度的光,在一些情形中,所述充分亮度可为大于约1W/(nm cm2Sr)的亮度。计量系统还可包含对光源的快速反馈以使光源的功率及波长保持稳定。光源的输出可经由自由空间传播而递送或在一些情形中经由任何类型的光纤或光导而递送。
计量工具经设计以进行与半导体制造相关的许多不同类型的测量。某些实施例可适用于此些测量。举例来说,在某些实施例中,工具可测量一或多个目标的特性,例如临界尺寸、叠对、侧壁角度、膜厚度、过程相关参数(例如,焦点及/或剂量)等。所述目标可包含本质上为周期性的某些所关注区域,例如,存储器裸片中的光栅。目标可包含多个层(或膜),所述层的厚度可由计量工具测量。目标可包含放置在半导体晶片上(或半导体晶片上已存在)的供(例如)与对准及/或叠对对齐操作一起使用的目标设计。某些目标可位于半导体晶片上的各个地方。举例来说,目标可位于切割道内(例如,裸片之间)及/或位于裸片自身中。在某些实施例中,多个目标由如第7,478,019号美国专利中所描述的相同或多个计量工具测量(同时或在不同时间)。可组合来自此些测量的数据。来自计量工具的数据在半导体制造过程中用于(举例来说)将校正向前馈送、向后馈送及/或侧向馈送到所述过程(例如,光刻、蚀刻)且因此可产生完整过程控制解决方案。
随着半导体装置图案尺寸的持续紧缩,通常需要较小计量目标。此外,测量准确性及与实际装置特性的匹配增加了对装置类目标以及裸片中及甚至装置上测量的需要。为实现所述目标已提出各种计量实施方案。举例来说,基于主要反射性光学器件的聚焦光束椭偏测量是各种计量实施方案中的一者且描述于皮旺卡-科勒(Piwonka-Corle)等人的专利(US 5,608,526,“聚焦光束光谱椭偏测量方法及系统(Focused beam spectroscopicellipsometry method and system)”)中。切趾器可用于减轻致使照明光点扩散超过由几何光学器件定义的大小的光衍射效应。诺顿(Norton)的专利(第5,859,424号美国专利,“用于在光学测量及其它应用中减小光点大小的切趾滤波器系统(Apodizing filter systemuseful for reducing spot size in optical measurements and otherapplications)”)中描述了切趾器的使用。使用具有同时多个入射角照明的高数值孔径工具是实现小目标能力的另一方式。(例如)奥普萨尔(Opsal)等人的专利(第6,429,943号美国专利,“关于同时多个入射角测量的临界尺寸分析(Critical dimension analysis withsimultaneous multiple angle of incidence measurements)”)中描述了此技术。
其它测量实例可包含测量半导体堆叠的一或多个层的组成、测量晶片上(或晶片内)的某些缺陷及测量暴露于晶片的光学光刻辐射量。在一些情形中,计量工具及算法可经配置用于测量非周期性目标,例如,参见P.江(P.Jiang)等人的“用于使用散射测量的CD计量中的全波电磁仿真的有限元法(The Finite Element Method for Full WaveElectromagnetic Simulations in CD Metrology Using Scatterometry)”(2014年6月3日提出申请的申请中的第14/294,540号美国专利申请案,代理人档案号码P0463)或A.库兹涅佐夫(A.Kuznetsov)等人的“用于计量及检验的有限结构及有限照明的电磁建模方法(Method of electromagnetic modeling of finite structures and finiteillumination for metrology and inspection)”(申请中的第14/170,150号美国专利申请案,代理人档案号码P0482)。
对所关注参数的测量通常涉及若干算法。举例来说,入射光束与样本的光学相互作用是使用EM(电磁)解算器来建模且使用例如RCWA、FEM、矩量法、表面积分法、体积积分法、FDTD及其它算法的算法。所关注目标通常使用几何引擎或在一些情形中使用过程建模引擎或者两者的组合来建模(参数化)。A.库兹涅佐夫等人在“基于模型的计量与过程模型的集成使用方法(Method for integrated use of model-based metrology and aprocess model)”(申请中的第14/107,850号美国专利申请案,代理人档案号码P4025)中描述了过程建模的使用。举例来说,科磊(KLA-Tencor)的AcuShape软件产品中实施几何引擎。
可通过若干数据拟合及优化技术以及包含以下各项的技术而分析所收集数据:库;快速降阶模型;回归;机器学习算法,例如神经网络、支持向量机(SVM);降维算法,例如,PCA(主成分分析)、ICA(独立成分分析)、LLE(局部线性嵌入);稀疏表示,例如傅里叶变换或小波变换;卡尔曼滤波;用以促进相同或不同工具类型的匹配的算法;及其它技术。
还可通过不包含建模、优化及/或拟合的算法来分析所收集数据,例如,第14/057,827号美国专利申请案。
通常针对计量应用来优化计算算法,其中使用一或多种方法,例如计算硬件的设计与实施、并行化、计算的分布、负载平衡、多服务支持、动态负载优化等。可在固件、软件、FPGA、可编程光学组件等中进行算法的不同实施方案。
数据分析及拟合步骤通常追求以下目标中的一或多者:
CD、SWA、形状、应力、组成、膜、带隙、电性质、焦点/剂量、叠对、产生过程参数(例如,抗蚀剂状态、分压力、温度、聚焦模型)及/或其任何组合的测量;
计量系统的建模及/或设计;
计量目标的建模、设计及/或优化。
以下说明揭示计量系统、方法及计算机程序产品(体现于非暂时性计算机可读媒体上)的实施例。以下说明揭示用于组合来自多个计量工具的原始数据的方法、系统(具有用于执行所述方法的处理器)及计算机程序产品(体现于非暂时性计算机可读媒体上且具有适于由计算机执行以执行所述方法的代码)的实施例。
所述计量工具可为上文参考图1所描述的计量工具中的任一者或可为其它类型的计量工具。所述计量工具可驻存于相同硬件平台或不同硬件平台上。当位于相同硬件平台上时,计算机的驻存于相同或不同硬件平台上的处理器与计量工具通信以执行关于以下后续各图所描述的方法。当位于不同硬件平台上时,计算机的处理器可驻存于具有所述计量工具中的多者的硬件平台中的一者上或可驻存于截然不同平台上,但再次,与计量工具通信以执行关于以下后续各图所描述的方法。
图2图解说明根据一实施例的用于组合来自多个计量工具的原始数据的方法200。在操作202中,识别培训组件。在一个实施例中,培训组件可是实验设计(DOE)组件(例如,聚焦曝光矩阵(FEM)晶片等)。DOE组件可专门出于培训目的而创建以最终允许目标组件由多个特定计量工具测量,其中组合由所述多个特定计量工具产生的原始数据。因此,DOE组件可不同于目标组件。
在此实施例中,可产生针对其而使组件的临界尺寸或组成特性或者过程工具条件系统性地变化的DOE组件。举例来说,针对DOE组件可使几何参数、材料组成参数、过程参数、叠对参数等变化,其中更具体来说,所述参数可能包含扫描仪焦点及剂量、材料(例如SiGe)组成、掺杂剂浓度、特征临界尺寸、特征叠对、特征高度、厚度、蚀刻深度、蚀刻工具气体流速、蚀刻工具晶片温度等。此外,取决于特定应用,晶片可是经图案化及/或未经图案化的。虽然本文中仅描述一个DOE组件,但应注意,还可识别一组DOE组件,且方法200同样适用于所述组中的多个DOE组件中的每一者。
在另一实施例中,培训组件可不一定经产生而专门用于组合来自多个计量工具的原始数据,而是,培训组件可是将由多个计量工具最终测量的目标组件。当培训组件是目标组件时,可关于下文在操作204中所提及参数而使用目标组件内的自然或经编程变化。
另外,如操作204中所展示,获得关于培训组件的至少一个参数的参考值。此参数可是几何参数(例如,临界尺寸、侧壁角度、轮廓高度等)、材料组成参数、过程参数(例如,焦点参数、剂量参数等)、叠对参数及/或上文所描述的其它参数中的任一者。因此,参考值可是培训组件的每一所要参数的所测量值。
在各种实施例中,可利用临界尺寸扫描电子显微术(CD-SEM)、透射电子显微术(TEM)及/或原子力显微术(AFM)而获得关于培训组件的至少一个参数的参考值。关于其中在操作202中识别目标组件的实施例且仅以实例方式,可使用X射线光电子能谱(XPS)计量来测量高k过程中的Hf组成变化。由于XPS可经校准以提供绝对组成参考数据,因此用于光学SE及XPS的数据可使用机器学习及数据压缩技术(例如,神经网络及主成分分析(PCA))来协同处理,且可改进来自单个目标组件或多个目标组件的结果,而不涉及否则将利用特殊DOE组件进行的单独培训步骤。
此外,在操作206中,利用第一计量工具收集关于培训组件的至少一个参数的第一组信号。明确地说,第一组信号可是由第一计量工具收集的关于培训组件的每一所要参数的原始数据。类似地,在操作208中,利用不同于第一计量工具的第二计量工具收集关于培训组件的至少一个参数的第二组信号。再次,第二组信号可是由第二计量工具收集的关于培训组件的每一所要参数的原始数据。
表1图解说明原始数据的各种实例。
表1
(1)来自HRXRD工具的衍射强度对衍射角度
(2)来自x射线荧光(XRF)工具的荧光强度对光子能量
(3)来自拉曼(Raman)散射工具的拉曼散射强度对波数
(4)用于x射线光电子能谱(XPS)工具的x射线光电子计数对结合能量
(5)用于光谱散射计(OCD)工具的椭偏计或反射计信号对波长
(6)用于x射线反射计(XRR)的x射线反射率对入射角
(7)用于基于角度的散射测量工具的反射率对入射角
(8)用于小角x射线散射(SAXS)工具的衍射强度对角度
如上所述,第二计量工具不同于第一计量工具。在各种实施例中,第一计量工具及第二计量工具可各自是以下各项中的不同者:光谱椭偏计(SE);具有多个照明角度的SE;测量米勒矩阵元的SE;单波长椭偏计;光束轮廓椭偏计;光束轮廓反射计;宽带反射性光谱仪;单波长反射计;角分辨反射计;成像系统;散射计;小角X射线散射(SAXS)装置;X射线粉末衍射(XRD)装置;X射线荧光(XRF)装置;X射线光电子能谱(XPS)装置;X射线反射率(XRR)装置;拉曼光谱装置;扫描电子显微术(SEM)装置;TEM装置;及AFM装置。
如操作210中所展示,将第一组信号及第二组信号中的每一者的至少一部分变换为第三组信号。在一个实施例中,操作210可涉及应用于第一组信号及第二组信号或其部分的至少一个预处理操作。此类预处理操作可包含对准(例如,图像对准)、滤波(例如,噪声滤波)、正规化、像差校正及/或其它技术特有操作。
在另一实施例中,操作210可涉及:组合第一组信号及第二组信号,且接着任选地在按培训组件条件将个别第一组信号及第二组信号排序之后将经组合信号变换为第三组信号(即,统一数据集)。此组合可发生于上文所提及预处理操作之后。举例来说,来自不同计量工具的多列经预处理信号可被连结起来,且接着可将所得经组合数据集变换为任选地具有较小数目个参数/列的第三组信号。主成分分析(PCA)可用于创建将经组合数据变换为第三组信号(在此情形中,其是主成分)的模型。在另一实施例中,独立成分分析(ICA)可用于将经组合数据变换为第三组信号。为此,第三组信号可是第一组信号及第二组信号中所包含的主要变化成分。
针对培训组件的至少一个参数中的每一者,确定第三组信号与参考值之间的对应关系,如操作212中所展示。此关系可通过使第三组信号(例如,其为第一组信号及第二组信号中所包含的主要变化成分)与参考值相关而确定。举例来说,在第三组中的信号是所确定主成分的情况下,可在主成分振幅与培训组件参数之间建立相关性。
仍然,针对培训组件的至少一个参数中的每一者,基于对应所确定关系而创建培训模型(参见操作214)。因此,第三组信号可与已知参考值一起用于创建将信号映射到每一对应参数的多个培训模型。所述培训模型可是线性模型、神经网络、支持向量机(SVM)及其它机器学习模型。应注意,可类似地重复进行操作206到214以创建针对打算用于测量目标组件的每一不同计量工具的培训模型。
如上文所描述,培训组件的仅一些参数可是本发明方法200的标的,使得可针对培训组件的参数中的任何特定参数或针对培训组件的所有参数(根据需要)而创建培训模型。此外,由于一个选项是:第三组信号是经组合第一/第二组信号的精简组,如上文所提及,因此使用此第三组信号来创建培训模型可产生集中于主要变化成分的培训模型(即,且不一定是所有可能参数的全参数模型)。
在操作216中,利用至少第一计量工具及第二计量工具从目标组件收集信号。如上文所述,还可利用额外计量工具。接着,在操作218中,将每一所创建培训模型应用于从目标组件收集的信号以测量目标组件的参数值。以此方式,在完成初始培训之后,如上文在操作206到214中所描述,接着在实际测量期间,由计量工具从目标组件收集原始数据(即,信号),且将先前经培训变换应用于所述原始数据以测量所要参数值。
以上方法200的一个益处是不需要用于特定计量工具的测量技术的预定义模型(即,基于探测光束与目标之间的相互作用的物理现象而计算所测量信号的准确模型);所有信息仅依据原始数据随着相关联过程条件的变化而获得(即,允许“无模型”或“免模型”方法)。因此,由于不需要针对每一计量技术的模型开发,因而产生结果的时间得以改进。
此外,此方法200可适用于具有各种各样计量技术的不同计量工具。以实例方式,方法200的一个特定应用将是对finFET装置的源极/漏极区域中的硅锗组成及尺寸的表征。通常,这些装置含有几个具有不同组成及尺寸的SiGe区域,且OCD努力准确地测量所述区域中的至少一者的组成。来自高分辨率XRD(HRXRD)或拉曼工具的原始数据可用于产生SiGe组成的无模型测量,所述无模型测量接着可结合OCD数据一起用于测量装置的所有维度方面。
图3图解说明根据另一实施例的用于将依据组合来自多个计量工具的原始数据而测量的装置参数传递到计量工具的模型的方法300。方法300可在先前各图的脉络中实施。因此,前述定义及说明可同样适用于本发明方法300。
如操作302中所展示,产生一组DOE晶片。接着,在操作304中,收集原始数据。明确地说,利用不同计量工具来测量所述组DOE晶片以从计量工具中的每一者收集原始数据。按DOE条件将原始数据排序,如操作306中所展示。此排序可产生DOE晶片的经排序(例如,经分类)测量列表。此外,将经排序原始数据组合于统一数据集中,如操作308中所展示。
接着对统一数据集执行PCA变换,如操作310中所展示。PCA变换经专门执行以识别统一数据集内的主成分。此外,在操作312中,经识别,确定DOE晶片的所识别主成分与过程参数之间的相关性。接着利用此相关性来形成培训模型。
在操作314中,利用计量工具从产品(即,目标)晶片收集原始数据。接着,在操作316中,接着将先前经培训变换应用于原始数据以提取产品晶片的参数(即,测量所要参数值)。此外,在操作318中,将针对产品晶片而测量的参数值发送到一或多个其它计量工具的模型以供在执行回归中使用。举例来说,这些模型可使用所测量参数值以众所周知方式进行回归运算。通过应用2014年03月24日提出申请的第14/223,045号美国专利申请案及2014年04月14日提出申请的第14/252,323号美国专利申请案中所描述的技术而确定所述参数。
在方法300的特定实施例中,可将任何数目个免模型测量与任何数目个免模型及/或基于模型的测量两者进行组合。在方法300的另一实施例中,可在晶片上的单独目标(测量部位)上获得免模型测量,且将信息传递到正对经图案化装置目标执行的一组免模型及/或基于模型的测量(即,“侧向馈送”使用情形)。在方法300的又一实施例中,可将来自先前过程步骤的免模型或基于模型的测量结果向前馈送到当前过程步骤处的一组免模型及/或基于模型的测量(即,“向前馈送”使用情形)。因此,方法300的灵活性在于可将免模型测量与较复杂基于模型的方法进行组合(如果需要的话),使得测量技术的总体复杂性得以改进,且因此可使用来自一个计量工具的原始数据来表征受测试装置的某些参数,同时可使用另一计量工具的数据使用标准基于模型的方法来确定相同或其余参数。
图4图解说明根据另一实施例的用于组合来自多个计量工具的原始数据的系统400。如所展示,系统400包含第一计量工具(“计量工具A”)402及“第二计量工具”(“计量工具B”)404。计量工具A 402及计量工具B 404可位于相同硬件平台或不同硬件平台上。此外,计量工具A 402及计量工具B 404可采用不同计量技术。
此外,计量工具A 402及计量工具B 404两者均与计算机处理器406通信。处理器406可与计量工具A 402及计量工具B 404中的一者或两者驻存于相同硬件平台上、可与计量工具A 402及计量工具B 404中的一者或两者驻存于不同硬件平台上或可为计量工具A402及计量工具B 404中的一者的组件。
系统400操作使得关于培训组件的至少一个参数的参考值被存储于存储器(未展示)中。计量工具A 402收集关于培训组件的至少一个参数的第一组信号,且计量工具B 404收集关于培训组件的至少一个参数的第二组信号。
处理器406将第一组信号及第二组信号中的每一者的至少一部分变换为第三组信号,且针对培训组件的每一参数而确定第三组信号与参考值之间的对应关系。此外,针对培训组件的每一参数,处理器406基于对应所确定关系而创建培训模型。处理器406识别由计量工具A 402及计量工具B 404从目标组件收集的信号,且将每一所创建培训模型应用于从目标组件收集的信号以测量目标组件的参数值。
虽然上文已描述各个实施例,但应理解,所述实施例仅以实例方式而非限制方式呈现。因此,优选实施例的广度及范围不应受上述示范性实施例中的任一者限制,而应仅根据所附权利要求书及其等效内容来定义。

Claims (21)

1.一种方法,其包括:
识别培训组件;
获得关于所述培训组件的至少一个参数的参考值;
利用第一计量工具收集关于所述培训组件的所述至少一个参数的第一组信号;
利用不同于所述第一计量工具的第二计量工具收集关于所述培训组件的所述至少一个参数的第二组信号;
将所述第一组信号及所述第二组信号中的每一者的至少一部分变换为第三组信号;
针对所述培训组件的所述至少一个参数中的每一者,确定所述第三组信号与所述参考值之间的对应关系;
针对所述培训组件的所述至少一个参数中的每一者,基于所述对应所确定关系而创建培训模型;
利用至少所述第一计量工具及所述第二计量工具从目标组件收集信号;
将每一所创建培训模型应用于从所述目标组件收集的所述信号以测量所述目标组件的参数值。
2.根据权利要求1所述的方法,其中所述培训组件是晶片。
3.根据权利要求1所述的方法,其中所述目标组件是所述培训组件。
4.根据权利要求1所述的方法,其中所述培训组件是不同于所述目标组件的实验设计组件。
5.根据权利要求1所述的方法,其中所述培训组件的所述至少一个参数包含几何参数、材料组成参数、过程参数或叠对参数中的至少一者。
6.根据权利要求5所述的方法,其中所述过程参数是焦点参数及剂量参数中的一者。
7.根据权利要求5所述的方法,其中所述几何参数是临界尺寸、侧壁角度及轮廓高度中的一者。
8.根据权利要求1所述的方法,其中利用临界尺寸扫描电子显微术CD-SEM、透射电子显微术TEM及原子力显微术AFM中的至少一者来获得所述参考值。
9.根据权利要求1所述的方法,其中所述第一计量工具及所述第二计量工具各自是以下各项中的不同者:
光谱椭偏计SE;
具有多个照明角度的SE;
测量米勒矩阵元的SE;
单波长椭偏计;
光束轮廓椭偏计;
光束轮廓反射计;
宽带反射性光谱仪;
单波长反射计;
角分辨反射计;
成像系统;
散射计;
小角X射线散射SAXS装置;
X射线粉末衍射XRD装置;
X射线荧光XRF装置;
X射线光电子能谱XPS装置;
X射线反射率XRR装置;
拉曼光谱装置;
扫描电子显微术SEM装置;
TEM装置;及
AFM装置。
10.根据权利要求1所述的方法,其中利用至少一个预处理操作来将所述第一组信号及所述第二组信号中的每一者的所述至少一部分变换为所述第三组信号,所述至少一个预处理操作包含对准、滤波及像差校正中的至少一者。
11.根据权利要求1所述的方法,其中通过主成分分析PCA或独立成分分析ICA将所述第一组信号及所述第二组信号中的每一者的所述至少一部分变换为所述第三组信号。
12.根据权利要求1所述的方法,其中所述第三组信号是所述第一组信号及所述第二组信号中所包含的主要变化成分。
13.根据权利要求12所述的方法,其中通过使对应于所述培训组件的所述至少一个参数中的每一者的所述第三组信号与所述参考值相关而确定所述第三组信号与所述参考值之间的所述关系。
14.根据权利要求1所述的方法,其中所述培训模型是线性模型、神经网络及支持向量机SVM中的一者。
15.根据权利要求1所述的方法,其进一步包括将针对所述目标组件而测量的所述参数值发送到所述第一计量工具及所述第二计量工具中的至少一者的模型以供在执行回归中使用。
16.一种计算机程序产品,其体现于非暂时性计算机可读媒体上,所述计算机程序产品包含适于由计算机执行以执行包括以下操作的方法的代码:
识别培训组件;
获得关于所述培训组件的至少一个参数的参考值;
利用第一计量工具收集关于所述培训组件的所述至少一个参数的第一组信号;
利用不同于所述第一计量工具的第二计量工具收集关于所述培训组件的所述至少一个参数的第二组信号;
将所述第一组信号及所述第二组信号中的每一者的至少一部分变换为第三组信号;
针对所述培训组件的所述至少一个参数中的每一者,确定所述第三组信号与所述参考值之间的对应关系;
针对所述培训组件的所述至少一个参数中的每一者,基于所述对应所确定关系而创建培训模型;
利用至少所述第一计量工具及所述第二计量工具从目标组件收集信号;
将每一所创建培训模型应用于从所述目标组件收集的所述信号以测量所述目标组件的参数值。
17.一种系统,其包括:
存储器,其存储关于培训组件的至少一个参数的参考值;
第一计量工具,其收集关于所述培训组件的所述至少一个参数的第一组信号;
第二计量工具,其收集关于所述培训组件的所述至少一个参数的第二组信号,所述第二计量工具不同于所述第一计量工具;
计算机的处理器,其用于:
将所述第一组信号及所述第二组信号中的每一者的至少一部分变换为第三组信号,
针对所述培训组件的所述至少一个参数中的每一者,确定所述第三组信号与所述参考值之间的对应关系,
针对所述培训组件的所述至少一个参数中的每一者,基于所述对应所确定关系而创建培训模型,
由所述第一计量工具及所述第二计量工具识别从目标组件收集的信号,及
将每一所创建培训模型应用于从所述目标组件收集的所述信号以测量所述目标组件的参数值。
18.根据权利要求17所述的系统,其中所述第一计量工具及所述第二计量工具驻存于相同硬件平台上。
19.根据权利要求18所述的系统,其中所述计算机的所述处理器与所述第一计量工具及所述第二计量工具驻存于相同硬件平台上。
20.根据权利要求17所述的系统,其中所述第一计量工具及所述第二计量工具驻存于不同硬件平台上。
21.根据权利要求20所述的系统,其中所述计算机的所述处理器与所述第一计量工具或所述第二计量工具驻存于相同硬件平台上。
CN201580059581.9A 2014-11-19 2015-11-18 用于组合来自多个计量工具的原始数据的系统、方法及计算机程序产品 Active CN107077644B (zh)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US201462082097P 2014-11-19 2014-11-19
US62/082,097 2014-11-19
US14/942,738 US10152678B2 (en) 2014-11-19 2015-11-16 System, method and computer program product for combining raw data from multiple metrology tools
US14/942,738 2015-11-16
PCT/US2015/061425 WO2016081656A1 (en) 2014-11-19 2015-11-18 System, method and computer program product for combining raw data from multiple metrology tools

Publications (2)

Publication Number Publication Date
CN107077644A true CN107077644A (zh) 2017-08-18
CN107077644B CN107077644B (zh) 2021-07-20

Family

ID=55962340

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201580059581.9A Active CN107077644B (zh) 2014-11-19 2015-11-18 用于组合来自多个计量工具的原始数据的系统、方法及计算机程序产品

Country Status (5)

Country Link
US (1) US10152678B2 (zh)
KR (1) KR102310830B1 (zh)
CN (1) CN107077644B (zh)
IL (1) IL251416B (zh)
WO (1) WO2016081656A1 (zh)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI744493B (zh) * 2017-02-27 2021-11-01 以色列商諾發測量儀器股份有限公司 控制系統

Families Citing this family (31)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP6412163B2 (ja) * 2014-05-13 2018-10-24 エーエスエムエル ネザーランズ ビー.ブイ. メトロロジーに用いられる基板及びパターニングデバイス、メトロロジー方法、及びデバイス製造方法
KR20180038477A (ko) * 2015-08-04 2018-04-16 노바 메주어링 인스트루먼츠 엘티디. 박막 내 하이브리드 측정 시스템 및 측정 방법
TWI765688B (zh) * 2015-12-15 2022-05-21 以色列商諾發測量儀器股份有限公司 用於測量圖案化結構之方法及拉曼光譜系統
WO2017108404A1 (en) 2015-12-23 2017-06-29 Asml Netherlands B.V. Metrology methods, metrology apparatus and device manufacturing method
US10712145B2 (en) * 2016-10-20 2020-07-14 Kla-Tencor Corporation Hybrid metrology for patterned wafer characterization
US10921369B2 (en) 2017-01-05 2021-02-16 Xcalipr Corporation High precision optical characterization of carrier transport properties in semiconductors
US20190332969A1 (en) * 2017-02-24 2019-10-31 Omron Corporation Configuring apparatus, method, program and storing medium, and learning data acquiring apparatus and method
US10732516B2 (en) * 2017-03-01 2020-08-04 Kla Tencor Corporation Process robust overlay metrology based on optical scatterometry
US20180286643A1 (en) * 2017-03-29 2018-10-04 Tokyo Electron Limited Advanced optical sensor, system, and methodologies for etch processing monitoring
KR102440742B1 (ko) * 2017-05-25 2022-09-05 삼성전자주식회사 반도체 소자 검사 시스템 및 그 동작 방법
US11378451B2 (en) * 2017-08-07 2022-07-05 Kla Corporation Bandgap measurements of patterned film stacks using spectroscopic metrology
US10989652B2 (en) 2017-09-06 2021-04-27 Lam Research Corporation Systems and methods for combining optical metrology with mass metrology
WO2019064293A1 (en) * 2017-09-26 2019-04-04 Nova Measuring Instruments Ltd. METHOD AND SYSTEM OF METROLOGY
US10928309B2 (en) * 2018-06-29 2021-02-23 Viavi Solutions Inc. Cross-validation based calibration of a spectroscopic model
US10978278B2 (en) 2018-07-31 2021-04-13 Tokyo Electron Limited Normal-incident in-situ process monitor sensor
JP7126412B2 (ja) * 2018-09-12 2022-08-26 東京エレクトロン株式会社 学習装置、推論装置及び学習済みモデル
US11295969B2 (en) 2018-11-27 2022-04-05 International Business Machines Corporation Hybridization for characterization and metrology
US11060846B2 (en) 2018-12-19 2021-07-13 Kla Corporation Scatterometry based methods and systems for measurement of strain in semiconductor structures
WO2020142301A1 (en) * 2019-01-02 2020-07-09 Kla Corporation Machine learning for metrology measurements
US11060982B2 (en) 2019-03-17 2021-07-13 Kla Corporation Multi-dimensional model of optical dispersion
US11347308B2 (en) 2019-07-26 2022-05-31 Samsung Electronics Co., Ltd. Method and apparatus with gaze tracking
US11520321B2 (en) 2019-12-02 2022-12-06 Kla Corporation Measurement recipe optimization based on probabilistic domain knowledge and physical realization
US11610297B2 (en) 2019-12-02 2023-03-21 Kla Corporation Tomography based semiconductor measurements using simplified models
US11698251B2 (en) 2020-01-07 2023-07-11 Kla Corporation Methods and systems for overlay measurement based on soft X-ray Scatterometry
US11513085B2 (en) 2020-02-20 2022-11-29 Kla Corporation Measurement and control of wafer tilt for x-ray based metrology
KR102409758B1 (ko) * 2020-06-01 2022-06-17 파크시스템스 주식회사 광학 측정 장치가 장착된 원자 현미경 및 이를 이용하여 측정 대상의 표면의 정보를 얻는 방법
US11530913B2 (en) 2020-09-24 2022-12-20 Kla Corporation Methods and systems for determining quality of semiconductor measurements
EP4089484A1 (en) * 2021-05-12 2022-11-16 ASML Netherlands B.V. System and method to ensure parameter measurement matching across metrology tools
WO2023036593A1 (en) * 2021-09-09 2023-03-16 Asml Netherlands B.V. Method for converting metrology data
US11619649B1 (en) 2021-11-26 2023-04-04 Park Systems Corp. Atomic force microscope equipped with optical measurement device and method of acquiring information on surface of measurement target using the same
US20240185058A1 (en) * 2022-12-05 2024-06-06 Applied Materials, Inc. Semiconductor film thickness prediction using machine-learning

Citations (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20040267397A1 (en) * 2003-06-27 2004-12-30 Srinivas Doddi Optical metrology of structures formed on semiconductor wafer using machine learning systems
CN1650318A (zh) * 2002-03-29 2005-08-03 音质技术公司 适合于工具对工具匹配的计量衍射信号
US7446888B2 (en) * 2006-05-22 2008-11-04 Tokyo Electron Limited Matching optical metrology tools using diffraction signals
CN101313308A (zh) * 2006-04-21 2008-11-26 应用材料股份有限公司 用于监测基板处理的神经网络方法和设备
CN101410844A (zh) * 2006-03-28 2009-04-15 东京毅力科创株式会社 用晶片均匀性控制进行动态计量采样
US20120226644A1 (en) * 2011-03-04 2012-09-06 Wen Jin Accurate and Fast Neural network Training for Library-Based Critical Dimension (CD) Metrology
US20130148130A1 (en) * 2011-12-11 2013-06-13 Tokyo Electron Limited Process control using ray tracing-based libraries and machine learning systems
US20130245985A1 (en) * 2012-03-14 2013-09-19 Kla-Tencor Corporation Calibration Of An Optical Metrology System For Critical Dimension Application Matching
US20130292567A1 (en) * 2012-05-04 2013-11-07 Commissariat a l energie atomique et aux energies alternatives Method of determining an applicable threshold for determining the critical dimension of at least one category of patterns imaged by atomic force scanning electron microscopy
US20130305206A1 (en) * 2012-05-08 2013-11-14 Kla-Tencor Corporation Measurement Model Optimization Based On Parameter Variations Across A Wafer
US20130304408A1 (en) * 2012-05-08 2013-11-14 Kla-Tencor Corporation Measurement Recipe Optimization Based On Spectral Sensitivity And Process Variation
WO2014011565A1 (en) * 2012-07-10 2014-01-16 Kla-Tencor Corporation Model building and analysis engine for combined x-ray and optical metrology
US20140172394A1 (en) * 2012-12-18 2014-06-19 Kla-Tencor Corporation Integrated use of model-based metrology and a process model
US20140273299A1 (en) * 2013-03-15 2014-09-18 GlobalFoundries, Inc. Systems and methods for fabricating semiconductor device structures using different metrology tools

Family Cites Families (15)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5608526A (en) 1995-01-19 1997-03-04 Tencor Instruments Focused beam spectroscopic ellipsometry method and system
US5859424A (en) 1997-04-08 1999-01-12 Kla-Tencor Corporation Apodizing filter system useful for reducing spot size in optical measurements and other applications
US6429943B1 (en) 2000-03-29 2002-08-06 Therma-Wave, Inc. Critical dimension analysis with simultaneous multiple angle of incidence measurements
AU2002360738A1 (en) * 2001-12-19 2003-07-09 Kla-Tencor Technologies Corporation Parametric profiling using optical spectroscopic systems
US7478019B2 (en) 2005-01-26 2009-01-13 Kla-Tencor Corporation Multiple tool and structure analysis
JP2008545123A (ja) * 2005-07-06 2008-12-11 ナショナル・リサーチ・カウンシル・オブ・カナダ 超音波減衰量を使用して材料特性を決定する方法及びシステム
US7567351B2 (en) 2006-02-02 2009-07-28 Kla-Tencor Corporation High resolution monitoring of CD variations
US9581430B2 (en) 2012-10-19 2017-02-28 Kla-Tencor Corporation Phase characterization of targets
US9291554B2 (en) 2013-02-05 2016-03-22 Kla-Tencor Corporation Method of electromagnetic modeling of finite structures and finite illumination for metrology and inspection
US10101670B2 (en) 2013-03-27 2018-10-16 Kla-Tencor Corporation Statistical model-based metrology
US9875946B2 (en) 2013-04-19 2018-01-23 Kla-Tencor Corporation On-device metrology
US9535018B2 (en) 2013-07-08 2017-01-03 Kla-Tencor Corporation Combined x-ray and optical metrology
US10935893B2 (en) 2013-08-11 2021-03-02 Kla-Tencor Corporation Differential methods and apparatus for metrology of semiconductor targets
WO2015090774A1 (en) * 2013-12-17 2015-06-25 Asml Netherlands B.V. Yield estimation and control
US20150332167A1 (en) * 2014-05-13 2015-11-19 Tokyo Electron Limited System and method for modeling and/or analyzing manufacturing processes

Patent Citations (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN1650318A (zh) * 2002-03-29 2005-08-03 音质技术公司 适合于工具对工具匹配的计量衍射信号
US20040267397A1 (en) * 2003-06-27 2004-12-30 Srinivas Doddi Optical metrology of structures formed on semiconductor wafer using machine learning systems
CN101410844A (zh) * 2006-03-28 2009-04-15 东京毅力科创株式会社 用晶片均匀性控制进行动态计量采样
CN101313308A (zh) * 2006-04-21 2008-11-26 应用材料股份有限公司 用于监测基板处理的神经网络方法和设备
US7446888B2 (en) * 2006-05-22 2008-11-04 Tokyo Electron Limited Matching optical metrology tools using diffraction signals
US20120226644A1 (en) * 2011-03-04 2012-09-06 Wen Jin Accurate and Fast Neural network Training for Library-Based Critical Dimension (CD) Metrology
US20130148130A1 (en) * 2011-12-11 2013-06-13 Tokyo Electron Limited Process control using ray tracing-based libraries and machine learning systems
US20130245985A1 (en) * 2012-03-14 2013-09-19 Kla-Tencor Corporation Calibration Of An Optical Metrology System For Critical Dimension Application Matching
US20130292567A1 (en) * 2012-05-04 2013-11-07 Commissariat a l energie atomique et aux energies alternatives Method of determining an applicable threshold for determining the critical dimension of at least one category of patterns imaged by atomic force scanning electron microscopy
US20130305206A1 (en) * 2012-05-08 2013-11-14 Kla-Tencor Corporation Measurement Model Optimization Based On Parameter Variations Across A Wafer
US20130304408A1 (en) * 2012-05-08 2013-11-14 Kla-Tencor Corporation Measurement Recipe Optimization Based On Spectral Sensitivity And Process Variation
WO2014011565A1 (en) * 2012-07-10 2014-01-16 Kla-Tencor Corporation Model building and analysis engine for combined x-ray and optical metrology
US20140172394A1 (en) * 2012-12-18 2014-06-19 Kla-Tencor Corporation Integrated use of model-based metrology and a process model
US20140273299A1 (en) * 2013-03-15 2014-09-18 GlobalFoundries, Inc. Systems and methods for fabricating semiconductor device structures using different metrology tools

Non-Patent Citations (6)

* Cited by examiner, † Cited by third party
Title
ALOK VAID 等: "A Holistic Metrology Approach: Hybrid Metrology Utilizing Scatterometry,CD-AFM and CD-SEM", 《PROC. OF SPIE》 *
ALOK VAID 等: "Hybrid metrology solution for 1X node technology", 《PROC. OF SPIE》 *
ALOK VAID 等: "Hybrid metrology universal engine: co-optimization", 《PROC. OF SPIE》 *
ALOK VAID等: "Hybrid metrology for advanced semiconductor fabrication", 《SPIE NEWSROOM》 *
J.HAZART 等: "Data Fusion for CD Metrology: Heterogeneous Hybridization of Scatterometry, CDSEM, and AFM data", 《PROC. OF SPIE》 *
NARENDER RANA 等: "Hybrid reference metrology exploiting patterning simulation", 《PROC. OF SPIE》 *

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI744493B (zh) * 2017-02-27 2021-11-01 以色列商諾發測量儀器股份有限公司 控制系統

Also Published As

Publication number Publication date
IL251416A0 (en) 2017-05-29
WO2016081656A1 (en) 2016-05-26
KR102310830B1 (ko) 2021-10-07
KR20170085582A (ko) 2017-07-24
CN107077644B (zh) 2021-07-20
US20160141193A1 (en) 2016-05-19
IL251416B (en) 2019-06-30
US10152678B2 (en) 2018-12-11

Similar Documents

Publication Publication Date Title
CN107077644A (zh) 用于组合来自多个计量工具的原始数据的系统、方法及计算机程序产品
CN105593973B (zh) 用于确定聚焦的方法及设备
US11874605B2 (en) Verification metrology targets and their design
CN106462078B (zh) 衬底和量测用图案形成装置、量测方法及器件制造方法
US10816906B2 (en) HHG source, inspection apparatus and method for performing a measurement
CN105612601B (zh) 用于图案化晶片表征的方法与设备
EP3031073B1 (en) Differential methods and apparatus for metrology of semiconductor targets
CN105580123B (zh) 多模型计量
CN105452963B (zh) 用于评价结构的所感兴趣的参数的值的重构品质的方法和检验设备以及计算机程序产品
CN105874389B (zh) 用于设计量测目标的方法和设备
KR20170069250A (ko) 이미지 기반 및 산란측정 오버레이 측정을 위한 신호 응답 계측
CN107076542A (zh) 使用离轴的未遮蔽物镜的检验系统及方法
CN105874387A (zh) 用于设计量测目标的方法和设备
TW201447622A (zh) 裝置上之量測
WO2017031014A1 (en) System, method and computer program product for calibration of metrology tools
CN108291868A (zh) 用于快速自动确定用于高效计量的信号的系统、方法及计算机程序产品
CN110383424A (zh) 用于焦点敏感式计量目标的系统及方法
KR20240003439A (ko) 자가 교정 오버레이 계측
CN107003499A (zh) 用于提供在其中执行光学接触的湿度受控环境的设备及方法

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant