KR20170085582A - 다중 계측 툴로부터의 원시 데이터를 결합하는 시스템, 방법 및 컴퓨터 프로그램 제품 - Google Patents

다중 계측 툴로부터의 원시 데이터를 결합하는 시스템, 방법 및 컴퓨터 프로그램 제품 Download PDF

Info

Publication number
KR20170085582A
KR20170085582A KR1020177016845A KR20177016845A KR20170085582A KR 20170085582 A KR20170085582 A KR 20170085582A KR 1020177016845 A KR1020177016845 A KR 1020177016845A KR 20177016845 A KR20177016845 A KR 20177016845A KR 20170085582 A KR20170085582 A KR 20170085582A
Authority
KR
South Korea
Prior art keywords
parameter
component
training
metrology tool
signal set
Prior art date
Application number
KR1020177016845A
Other languages
English (en)
Other versions
KR102310830B1 (ko
Inventor
스틸리안 판데프
새디어스 디지우라
안드레이 슈체그로브
Original Assignee
케이엘에이-텐코 코포레이션
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 케이엘에이-텐코 코포레이션 filed Critical 케이엘에이-텐코 코포레이션
Publication of KR20170085582A publication Critical patent/KR20170085582A/ko
Application granted granted Critical
Publication of KR102310830B1 publication Critical patent/KR102310830B1/ko

Links

Images

Classifications

    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06NCOMPUTING ARRANGEMENTS BASED ON SPECIFIC COMPUTATIONAL MODELS
    • G06N20/00Machine learning
    • G06N99/005

Landscapes

  • Engineering & Computer Science (AREA)
  • Software Systems (AREA)
  • Theoretical Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Computing Systems (AREA)
  • General Engineering & Computer Science (AREA)
  • Artificial Intelligence (AREA)
  • Computer Vision & Pattern Recognition (AREA)
  • Data Mining & Analysis (AREA)
  • Evolutionary Computation (AREA)
  • Medical Informatics (AREA)
  • Mathematical Physics (AREA)
  • Testing Or Measuring Of Semiconductors Or The Like (AREA)
  • Length Measuring Devices By Optical Means (AREA)
  • Manufacturing & Machinery (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Computer Hardware Design (AREA)
  • Automation & Control Theory (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Investigating Or Analysing Materials By Optical Means (AREA)

Abstract

다중 계측 툴로부터의 원시 데이터를 결합하기 위한 시스템, 방법 및 컴퓨터 프로그램 제품이 제공된다. 훈련 컴포넌트의 적어도 하나의 파라미터에 대해 기준 값이 얻어진다. 제 1 계측 툴 및 상이한 제 2 계측 툴을 이용하여 훈련 컴포넌트의 적어도 하나의 파라미터에 대해 신호가 수집된다. 또한, 신호의 적어도 일부는 신호 집합으로 변환되며, 훈련 컴포넌트의 적어도 하나의 파라미터의 각각에 대하여 신호 집합과 기준 값 사이의 대응 관계가 결정되고, 그로부터 대응 훈련 모델이 생성된다. 적어도 제 1 계측 툴 및 제 2 계측 툴을 이용하여 타겟 컴포넌트로부터의 신호가 수집되고, 타겟 컴포넌트에 대한 파라미터 값을 측정하기 위해 타겟 컴포넌트로부터 수집된 신호에 각각 생성된 훈련 모델이 적용된다.

Description

다중 계측 툴로부터의 원시 데이터를 결합하는 시스템, 방법 및 컴퓨터 프로그램 제품{SYSTEM, METHOD AND COMPUTER PROGRAM PRODUCT FOR COMBINING RAW DATA FROM MULTIPLE METROLOGY TOOLS}
관련 출원(들)
본 출원은 참조에 의해 전체 내용이 여기서 통합되는 2014년 11월 19일자로 출원된 미국 가특허 출원 제62/082,097호에 우선권을 주장한다.
기술 분야
본 출원은 계측 툴에 관한 것이고, 보다 구체적으로는 하이브리드 계측 툴에 관한 것이다.
계측은 일반적으로 타겟 컴포넌트의 다양한 물리적 특징들을 측정하는 것을 포함한다. 예를 들어, 타겟 컴포넌트의 구조적 및 재료적 특성(예를 들어, 재료적 구성, 구조물의 치수적 특성 및/또는 구조물의 임계 치수 등)이 계측 툴을 이용하여 측정될 수 있다. 반도체 계측의 예에서, 제조된 반도체 컴포넌트의 다양한 물리적 특징들이 계측 툴을 이용하여 측정될 수 있다.
계측 측정치가 얻어지면, 측정치는 분석될 수 있다. 그러한 분석은 전형적으로 타겟 컴포넌트에 특유한 파라미터에 대한 미리 정의된 값(들)(즉, 타겟 컴포넌트의 파라메트릭 모델)을 가진 라이브러리를 포함한다. 특히, 라이브러리는 파라미터를 플로팅(floating)하는 값 범위를 포함할 수 있다. 다음에 그 라이브러리는 파라메트릭 모델에 대한 값들의 집합이 주어지면 합리적인 정확도로 타겟 컴포넌트를 가진 시스템의 솔루션을 신속하게 감소시킬 수 있는 신속한 수학적 근사치를 제공하기 위해 사용될 수 있다.
어떤 경우에 있어서, 타겟 컴포넌트를 특정하기 위해 다수의 상이한 계측 툴을 사용하는 것이 바람직하다. 이러한 기술은 일반적으로 "하이브리드 계측"으로 알려져 있다. 그러나, 복합 측정 결과를 성취하기 위해 분리된 계측 툴로부터의 데이터 집합을 어떤 방식으로 결합시킬 필요가 있다.
개별 계측 툴의 불충분한 측정 성능과 같은, 다수의 상이한 계측 툴을 채용하는 많은 이유들이 있다. 그리고 타겟 컴포넌트에 대한 임계 치수 및 구성 파라미터 모두에, 안정성 및 프로세스 트래킹을 위한 사양을 만족시키는 전체 측정치를 생산하기 위해, 상이한 측정 기술을 이용한 2개 이상의 계측 툴이 그들의 특정 강도에 따라 사용된 각 기술로 결합될 수 있다는 기대가 있다. 기존의 하이브리드 계측 툴의 일례가 2011년 SPIE Proc. Vol. 7971에 게시된 A. Vaid 등의 "A Holistic Metrology Approach: Hybrid Metrology Utilizing Scatterometry, CD-AFM, and CD-SEM"에 기재되어 있다.
불행히도, 공지의 하이브리드 계측 툴은 다양한 한계점들을 보인다. 예를 들어, 하이브리드 계측의 성공에 중요한 것은 각 툴로부터의 측정 결과가 결합되어지는 정확한 방법이다. 완벽한 정확도 및 정밀도를 가진 계측 툴 측정도 없고 모든 계측 툴이 완벽하게 조화되는 것은 아니기 때문에, 그러한 측정의 측면에서 어떤 방식으로 완화되지 않으면 측정 에러가 발생할 수 있다. 이 때문에, 툴 A로부터의 측정 결과가 툴 B에 대한 모델로 피드 포워드(feed forward)되고 고정되는 "주입(injection)" 또는 간단한 피드 포워드 기술은 일반적으로 강력하지 않은 것으로 간주된다.
여기서 "결과 데이터 변환"이라고 나타낸 대안의 기술이 사용될 수 있고, 그것에 의해 툴 사이에서 테이터를 전달하기 전에 계측 툴 사이의 공지의 오프셋뿐만 아니라 어쩌면 상관 기울기 에러도 보정될 수 있다. 툴 에러의 고차원 보정이 가능할 수도 있다. 이러한 기술의 예가 2012년 SPIE Proc. Vol. 8324에 게시된 A. Vaid 등의 “Hybrid metrology solution for 1X node technology”에 기재되어 있다. 그 작업에서, "데이터 변조 파라미터(data modification parameter; DMP) '(오프셋, 매칭 정확도) 및 'DMP 강도'의 개념이 도입되었다. DMP 강도는 툴 A로부터의 데이터가 툴 B에 의해 사용되는 정도를 제어하는 스케일 팩터이다. DMP 강도가 사용되었던 명시적인 방법은 기술되지 않는다.
보다 최근에, '공동 최적화(co-optimization)'로 알려진 제 3 하이브리드 계측 방법이 탐구되고 있고, 여기서 결합될 모든 툴 상에 수행되는 측정에 대한 모델은, 어떤 방식으로 제약되고 있는 두(또는 모든) 계측 툴에 공통되는 모델 파라미터로, 동시에 회귀(regress)된다. 임계 치수 -주사 전자 현미경(Critical Dimension - Scanning Electron Microscopy; CD-SEM) 측정과의 하이브리드화에 대한 이러한 기술의 최근 여러 응용이 보고되고 있다. 2014년 SPIE Proc. 9050에 게시된 A. Vaid의 “Hybrid metrology universal engine: co-optimization”에서, 하이브리드 접근법의 성공은 CD-SEM에 의해 보고된 측벽 각도 의존적 CD 값의 이전 교정, 및 그러면 실제 하이브리드 측정 동안에 측벽 각도(SWA) 값이 보고되는 광학 CD(OCD)를 사용한 CD-SEM CD 값의 보정에 의존된다. 그 다른 예가 2014년 SPIE Proc. Vol. 9050에 게시된 J. Hazart 등의 “Data Fusion for CD Metrology: Heterogeneous Hybridization of Scatterometry, CDSEM, and AFM data”에 기재되고, 여기서 OCD 데이터는 CD-SEM 이미지 데이터의 콤팩트 모델과 결합되었다.
최근 경향은 공동 최적화 방법을 향하여 이동하는 것으로 나타나지만, 공동 최적화는 경쟁 공급자들에 의해 제조될 수 있는 매우 상이한 계측 툴에 걸친 측정 알고리즘 및 교정 방법의, 하이브리드 솔루션 공급자의 측에서의, 상세한 작업 지식을 요구하기 때문에 다른 기술이 더 바람직 할 수 있다.
따라서 검사 시스템의 종래 기술 구현과 관련된 이들 문제 및/또는 다른 문제를 해결할 필요가 있다.
다중 계측 툴로부터의 원시 데이터(raw data)를 결합하는 시스템, 방법 및 컴퓨터 프로그램 제품이 제공된다. 이용에 있어서, 훈련 컴포넌트가 식별되고, 훈련 컴포넌트의 적어도 하나의 파라미터에 대해 기준 값이 얻어진다. 제 1 계측 툴을 이용하여 훈련 컴포넌트의 적어도 하나의 파라미터에 대해 제 1 신호 집합이 수집되고, 제 1 계측 툴과는 상이한 제 2 계측 툴을 이용하여 훈련 컴포넌트의 적어도 하나의 파라미터에 대해 제 2 신호 집합가 수집된다. 또한, 제 1 신호 집합과 제 2 신호 집합 각각의 적어도 일부가 제 3 신호 집합으로 변환되고, 훈련 컴포넌트의 적어도 하나의 파라미터 각각에 대하여, 제 3 신호 집합과 기준 값 사이의 대응 관계가 결정되고, 그것으로부터 대응하는 훈련 모델이 생성된다. 적어도 제 1 계측 툴 및 제 2 계측 툴을 이용하여 타겟 컴포넌트로부터의 신호가 수집되고, 타겟 컴포넌트에 대한 파라미터 값을 측정하기 위해 타겟 컴포넌트로부터 수집된 신호에 각각 생성된 훈련 모델이 적용된다.
도 1은 이전 기술에 따른 예시적인 계측 툴의 개략도를 나타낸다.
도 2는 일실시예에 따른, 다중 계측 툴로부터 원시 데이터를 결합하는 방법을 예시한다.
도 3은 또 다른 실시예에 따른, 다중 계측 툴로부터의 원시 데이터를 결합하는 것으로부터 측정된 디바이스 파라미터를 계측 툴의 모델로 전달하는 방법을 예시한다.
도 4는 또 다른 실시예에 따른, 다중 계측 툴로부터의 원시 데이터를 결합하는 시스템을 예시한다.
반도체 계측의 분야에서, 계측 툴은 타겟을 조명하는 조명 시스템, 타겟, 디바이스 또는 피처(feature)와 조명 시스템의 상호 작용(또는 그의 결여)에 의해 제공된 관련 정보를 캡처하는 수집 시스템, 및 하나 이상의 알고리즘을 사용하여 수집된 정보를 분석하는 프로세싱 시스템을 포함할 수 있다. 계측 툴은 다양한 반도체 제조 공정과 관련된 구조적 및 재료적 특성(예를 들어, 재료적 구성, 필름 두께와 같은 필름 및 구조물의 치수적 특성 및/또는 구조물의 임계 치수, 오버레이 등)을 측정하기 위해 사용될 수 있다. 그러한 측정은 반도체 다이의 제조에서 공정 제어 및/또는 수득 효율을 향상시키기 위해 사용된다.
계측 툴은 예를 들어, 상기 언급된 다양한 반도체 구조적 및 재료적 특성을 측정하기 위해 본 발명의 특정 실시예와 함께 사용될 수 있는 하나 이상의 하드웨어 구성을 포함할 수 있다. 그러한 하드웨어 구성의 예로는 다음을 포함하지만, 그것에 한정되지 않는다:
분광 타원계(spectroscopic ellipsometer; SE)
다중 조명 각도를 갖는 SE
[예를 들어, 회전 보상기(rotating compensator)(들)를 이용하여] 뮐러(Mueller) 행렬 요소를 측정하는 SE
단일 파장(single-wavelength) 타원계
빔 프로파일 타원계[각도-분해(angle-resolved) 타원계]
빔 프로파일 반사계(각도-분해 반사계)
광대역 반사 분광계(분광 반사계)
단일 파장 반사계
각도 분해 반사계
이미징 시스템
산란계(scatterometer)[예를 들어, 스펙클 분석기(speckle analyzer)]
하드웨어 구성은 개별의 운영 시스템으로 분리될 수 있다. 한편, 하나 이상의 하드웨어 구성이 단일 툴로 결합될 수 있다. 모든 목적을 위해 그 전체가 참조에 의해 여기에 통합된 미국 특허 제7,933,026호로부터 여기에 통합된, 그러한 다중 하드웨어 구성을 단일 툴로 결합하는 것의 일례가 도 1에 나타내어진다. 도 1은, 예를 들어, a) 광대역 SE(즉, 18); b) 회전 보상기(즉, 98)를 갖는 SE (즉, 2); c) 빔 프로파일 타원계(즉, 10); d) 빔 프로파일 반사계(즉, 12); e) 광대역 반사 분광기(즉, 14); 및 f) 심자외선 반사 분광기(즉, 16)를 포함한 예시적인 계측 툴의 개략도를 나타낸다. 또한, 그러한 시스템에는 일반적으로 특정 렌즈, 시준기, 미러, 1/4 파장판, 편광기, 검출기, 카메라, 조리개 및/또는 광원을 포함한 수많은 광학 요소가 있다. 광학 시스템을 위한 파장은 약 120 nm에서 3미크론까지다양할 수 있다. 비타원계(non-ellipsometer) 시스템을 위해 수집된 신호는 편광-분해되거나 비편광화(unpolarized)될 수 있다. 도 1은 동일한 툴 상에 통합된 다중 계측 헤드의 예시를 제공한다. 그러나, 많은 경우에, 다중 계측 툴은 단일 또는 다중 계측 타겟 상의 측정을 위해 사용된다. 이것은 예를 들어, 모든 목적을 위해 그 전체가 참조에 의해 또한 여기에 통합되는, 미국 특허 제7,478,019호 "Multiple tool and structure analysis"에 기재된다.
특정 하드웨어 구성의 조명 시스템은 하나 이상의 광원을 포함한다. 광원은 오직 하나의 파장(즉, 단색광), 다수의 이산 파장을 갖는 광(즉, 다색광), 다중 파장을 갖는 광(즉, 광대역 광) 및/또는 파장을 통해 스윕(sweep)하고, 파장 사이를 연속하거나 또는 파장 사이를 호핑(hopping)하는, 광(즉, 조정가능한 광원 또는 스윕 광원)을 생성할 수 있다. 적합한 광원의 예로는 백색 광원, 자외선(UV) 레이저, 아크 램프 또는 무전극 램프, 예를 들어 미국 매사추세츠주 우번에 소재한 Energetiq Technology, Inc.로부터 상업적으로 입수가능한 레이저 지속 플라즈마(laser sustained plasma; LSP) 소스, 예를 들어 미국 뉴저지주 모건빌에 소재한 NKT Photonics Inc.로부터 상업적으로 입수가능한 초연속체(super-continuum) 소스(광대역 레이저 소스 등) 또는 엑스레이 소스, 극자외선 소스 또는 그들의 일부 조합과 같은 단파장 소스이다. 또한, 광원은 , 일부 경우에 약 1W/(nm cm2 Sr) 보다 큰 밝기일 수 있는, 충분한 밝기를 갖는 광을 제공하도록 구성될 수 있다. 계측 시스템은 또한 그 전력 및 파장을 안정화시키기 위해 광원으로의 빠른 피드백을 포함할 수 있다. 광원의 출력은 자유 공간 전파를 통해 전달될 수 있거나, 또는 일부 경우에 광섬유 또는 어떤 유형의 광 가이드를 통해 전달될 수 있다.
계측 툴은 반도체 제조와 관련된 많은 상이한 유형의 측정을 이루도록 설계된다. 특정 실시예가 그러한 측정에 적용될 수 있다. 예를 들어, 특정 실시예에서 툴은 임계 치수, 오버레이, 측벽 각도, 필름 두께, 공정 관련 파라미터[예를 들어, 포커스 및/또는 도즈(dose)]와 같은 하나 이상의 타겟의 특성을 측정할 수 있다. 타겟은 예를 들어 메모리 다이에서 격자와 같은, 사실상 주기적인 특정 관심 영역을 포함할 수 있다. 타겟은 그 두께가 계측 툴에 의해 측정될 수 있는 다중 층(또는 필름)을 포함할 수 있다. 타겟은 예를 들어 정렬 및/또는 오버레이 레지스트레이션 동작과 함께 사용하기 위해 반도체 웨이퍼 상에 배치된(또는 이미 존재하는) 타겟 디자인을 포함할 수 있다. 특정 타겟은 반도체 웨이퍼 상의 여러 곳에 위치될 수 있다. 예를 들어, 타겟은 스크라이브 라인(예를 들어, 다이 사이) 내에 및/또는 다이 자체에 위치될 수 있다. 특정 실시예에서, 다중 타겟은 미국 특허 제7,478,019호에 기술된 바와 같은 동일 또는 다중 계측 툴에 의해 (동시에 또는 상이한 시간에) 측정된다. 그러한 측정으로부터의 데이터는 결합될 수 있다. 계측 툴로부터의 데이터는 반도체 제조 공정에서 공정(예를 들어, 리소그래피, 에칭)에 대한 예를 들어 피드-포워드, 피드-백워드 및/또는 피드-사이드웨이 보정을 위해 사용되고, 따라서 완전한 공정 제어 솔루션을 산출할 수 있다.
반도체 디바이스 패턴 치수가 계속 줄어듬에 따라, 더 작은 계측 타겟이 종종 요구된다. 또한, 측정 정확도와 실제 디바이스 특성에 대한 매칭이 디바이스형 타겟뿐만 아니라 다이 내의 측정 및 디바이스 상의 측정에 대해 필요성을 증가한다. 이러한 목표를 달성하기 위해 다양한 계측 구현이 제안되었다. 예를 들어, 주로 반사형 광학계에 기초한 포커싱된 빔 타원 계측법이 그 중 하나이며, Piwonka-Corle 등에 의해 특허에 기재되어있다(미국 특허 제5,608,526호 "Focused beam spectroscopic ellipsometry method and system"). 아포다이저(apodizer)는 기하학적 광학계에 의해 정의된 크기를 넘는 조명 스팟의 확산을 야기하는 광학 회절의 영향을 완화하는데 사용될 수 있다. 아포다이저의 사용은 Norton의 특허, 미국 특허 제5,859,424호, ”Apodizing filter system useful for reducing spot size in optical measurements and other applications”에 기재된다. 동시의 다중 입사각 조명을 갖는 고 개구수(high-numerical-aperture) 툴의 사용은 작은 타겟 능력을 성취하기 위한 또다른 방법이다. 이 기술은 예를 들어 Opsal 등에 의한 특허, 미국 특허 제6,429,943호, "Critical dimension analysis with simultaneous multiple angle of incidence measurements"에 기재된다.
다른 측정 예로는 반도체 스택의 하나 이상의 층들의 조성을 측정하고, 웨이퍼 상의(내의) 특정 결함들을 측정하고, 웨이퍼에 노출된 포토리소그래피 방사선의 양을 측정하는 것을 포함할 수 있다. 일부 경우에, 계측 툴 및 알고리즘은, 예를 들어 P. Jiang 등에 의한 "The Finite Element Method for Full Wave Electromagnetic Simulations in CD Metrology Using Scatterometry" (대리인 문서 번호 P0463인, 2014년 6월 3일에 출원된 계류중인 미국 특허 출원 제14/294,540호) 또는 A. Kuznetsov 등에 의한 "Method of electromagnetic modeling of finite structures and finite illumination for metrology and inspection"(대리인 문서 번호 P0482인, 계류중인 미국 특허 출원 제14/170,150호)을 참조하여, 비주기적인 타겟을 측정하도록 구성될 수 있다.
관심 파라미터의 측정에는 일반적으로 다수의 알고리즘을 수반한다. 예를 들어, 샘플과 입사 빔의 광학적 상호작용은 EM(electro-magnetic; 전자기) 솔버(solver)를 사용하여 모델링되며, RCWA, FEM, 모멘트 법, 표면 적분법, 체적 적분법, FDTD 및 기타 알고리즘을 사용한다. 관심 타겟은 일반적으로 기하학 엔진, 일부 경우에 프로세스 모델링 엔진, 또는 이 둘의 조합을 사용하여 모델링(파라미터화)된다. 프로세스 모델링의 사용은 A. Kuznetsov 등에 의한 "Method for integrated use of model-based metrology and a process model"(대리인 문서 번호 P4025인 계류중인 미국 특허 출원 제14/107,850)에 기재된다. 기하학적 엔진은 예를 들어 KLA-Tencor의 AcuShape 소프트웨어 제품에서 구현된다.
수집된 데이터는 다수의 데이터 피팅 및 최적화 기술에 의해 분석될 수 있고, 기술은 라이브러리, 고속 축소 차수(fast-reduced-order) 모델; 회귀; 뉴럴 네트워크(neural network), SVM(support-vector machine; 지지 벡터 머신)과 같은 기계 학습 알고리즘; PCA(principal component analysis; 주요 성분 분석), ICA (독립 성분 분석), LLE(local-linear embedding; 국소 선형 임베딩) 등의 차원 축소(dimensionality-reduction) 알고리즘; 푸리에(Fourier) 또는 웨이브렛(wavelet) 변환과 같은 희소성 표현(sparse representation); 칼만(Kalman) 필터; 동일하거나 상이한 툴 유형으로부터의 매칭을 촉진하는 알고리즘 등을 포함한다.
수집된 데이터는 또한 예를 들어 미국 특허 출원 제14/057,827호와 같은 모델링, 회적화 및/또는 피팅을 포함하지 않는 알고리즘에 의해 분석될 수도 있다.
연산 알고리즘은 일반적으로 연산 하드웨어의 설계 및 구현, 병렬화(parallelization), 연산의 분산, 로드-밸런싱, 멀티서비스 지원, 동적 로드 최적화 등과 같은 하나 이상의 접근법이 사용되는 계측 애플리케이션에 최적화된다. 상이한 알고리즘의 구현은 펌웨어, 소프트웨어, FPGA, 프로그래밍가능한 광학 컴포넌트 등에서 수행될 수 있다.
데이터 분석 및 피팅 단계는 다음의 목표 중 하나 이상을 추구한다:
CD, SWA, 형상, 조성, 필름, 밴드-갭, 전기적 특성, 포커스/도즈, 오버레이, 생산 공정 파라미터(예를 들어, 레지스트 상태, 부분 압력, 온도, 포커싱 모델) 및/또는 이들의 임의의 조합의 측정;
계측 시스템의 모델링 및/또는 설계;
계측 타겟의 모델링, 설계 및/또는 최적화.
다음 설명은 계측 시스템, 방법 및 컴퓨터 프로그램 제품(비일시적 컴퓨터 판독가능 매체 상에 내장됨)의 실시예를 개시한다. 이하의 설명은 다중 계측 툴로부터의 원시 데이터를 결합하기 위한 방법, 시스템(방법을 수행하기 위한 프로세서를 구비함) 및 컴퓨터 프로그램 제품(비일시적인 컴퓨터 판독가능 매체 상에 내장되고, 방법을 수행하도록 컴퓨터에 의해 실행되도록 된 코드를 구비함)을 개시한다.
계측 툴은 도 1을 참조하여 상술된 것들 중 어느 것일 수 있거나, 다른 유형의 계측 툴일 수 있다. 계측 툴은 동일한 하드웨어 플랫폼 또는 상이한 하드웨어 플랫폼 상에 상주할 수 있다. 동일한 하드웨어 플랫폼 상에 있을 때, 동일한 또는 상이한 하드웨어 플랫폼 상에 상주하는 컴퓨터의 프로세서는 이하 후속하는 도면과 관련하여 설명된 방법을 수행하기 위해 계측 툴과 통신한다. 상이한 하드웨어 플랫폼 상에 있을 때, 컴퓨터의 프로세서는 계측 툴을 갖는 하드웨어 플랫폼 중 하나 상에 상주하거나 완전히 상이한 플랫폼 상에 상주할 수도 있지만, 다시 이하 후속하는 도면과 관련하여 설명된 방법을 수행하기 위해 계측 툴과 통신한다.
도 2는 일실시예에 따라 다수의 계측 툴로부터의 원시 데이터를 결합하는 방법(200)을 도시한다. 동작(202)에서, 훈련 컴포넌트가 식별된다. 일실시예에 있어서, 훈련 컴포넌트는 실험 계획(design on experiment; DOE) 컴포넌트[예를 들어, 포커스 노출 매트릭스(focus exposure matrix; FEM) 웨이퍼 등]일 수 있다. DOE 컴포넌트는 특히 훈련 목적을 위해 생성되어 궁극적으로 그로부터 발생하는 원시 데이터가 결합되는 다중 특정 계측 툴에 의해 타겟 컴포넌트가 측정되도록 할 수 있다. 따라서, DOE 컴포넌트는 타겟 컴포넌트와는 상이할 수 있다.
이 실시예에 있어서, 컴포넌트의 임계 치수 또는 조성 특징 또는 공정 툴 조건이 체계적으로 변화되는 동안 DOE 컴포넌트가 생산될 수 있다. 예를 들어, DOE 컴포넌트에 대해 기하학적 파라미터, 재료 조성 파라미터, 프로세스 파라미터, 오버레이 파라미터 등이 변경될 수 있으며, 여기서 파라미터는 가능한 더 구체적으로 스캐너 포커스 및 도즈, 재료(예를 들어, SiGe) 조성, 도펀트 농도, 피처 임계 치수, 피처 오버레이, 피처 높이, 두께, 에칭 깊이, 에칭 툴 가스 유속, 에칭 툴 웨이퍼 온도 등을 포함할 수 있다. 또한, 웨이퍼는 특정 애플리케이션에 따라 패턴 화 및/또는 패턴화되지 않을 수 있다. 하나의 DOE 컴포넌트만이 여기에 기재되었지만, DOE 컴포넌트 집합이 또한 식별될 수 있고, 방법(200)은 집합 내의 다수의 DOE 컴포넌트 각각에 유사하게 적용된다는 것을 주목해야 한다.
또다른 실시예에 있어서, 특별히 다수의 계측 툴로부터의 원시 데이터를 결합하기 위해 훈련 컴포넌트는 반드시 생성할 필요는 없지만, 대신에 다중 계특 툴에 의해 궁극적으로 측정되는 타겟 컴포넌트일 수 있다. 트레이닝 컴포넌트가 타겟 컴포넌트일 때, 타겟 컴포넌트 내의 뉴럴 또는 프로그래밍된 변형은 동작(204)에서 후술되는 파라미터들에 대해 사용될 수 있다.
추가적으로, 동작(204)에 나타낸 바와 같이, 훈련 컴포넌트의 적어도 하나의 파라미터에 대해 기준값이 얻어진다. 이 파라미터는 기하학적 파라미터(예를 들어, 임계 치수, 측벽 각도, 프로파일 높이 등), 재료 조성 파라미터, 공정 파라미터(예를 들어, 포커스 파라미터, 도즈 파라미터 등), 오버레이 파라미터 및/또는 상술된 다른 파라미터들일 수 있다. 따라서, 기준 값은 훈련 컴포넌트의 각각의 원하는 파라미터에 대해 측정된 값일 수 있다.
다양한 실시 예에서, 훈련 컴포넌트의 적어도 하나의 파라미터에 대한 기준 값은 임계 치수 주사 전자 현미경(critical dimension scanning electron microscopy; CD-SEM), 투과 전자 현미경(transmission electron microscopy; TEM) 및/또는 원자 현미경(atomic force microscopy; AFM) 중 적어도 하나를 이용하여 얻어진다. 동작(202)에서 타겟 컴포넌트가 식별되는 실시예에 관하여, 단지 예시로서, X선 광전자 분광(X-ray photoelectron spectroscopy; XPS) 계측이 하이-k 공정에서 Hf 조성 변화를 측정하는데 사용될 수 있다. XPS는 절대 조성 기준 데이터를 제공하도록 교정될 수 있으므로, 광학 SE 및 XPS를 위한 데이터는 기계 학습 및 데이터 압축 기술[뉴럴 네트워크 및 주요 성분 분석(PCA) 등]을 사용하여 공동 처리 될(co-processed) 수 있고, 단일 타겟 컴포넌트 또는 다중 타겟 컴포넌트로부터의 결과는 다른 방식으로 만들어진 특수 DOE 컴포넌트와 별도의 훈련 단계를 수반하지 않고 개선될 수 있다.
또한, 동작(206)에서, 제 1 계측 툴을 이용하여 훈련 컴포넌트의 적어도 하나의 파라미터에 대한 제 1 신호 집합이 수집된다. 특히, 제 1 신호 집합은 훈련 컴포넌트의 각각의 원하는 파라미터에 대해 제 1 계측 툴에 의해 수집된 원시 데이터일 수 있다. 유사하게, 동작(208)에서, 제 1 계측 툴과는 상이한 제 2 계측 툴을 이용하여 훈련 컴포넌트의 적어도 하나의 파라미터에 대한 제 2 신호 집합이 수집된다. 다시, 제 2 신호 집합은 훈련 컴포넌트의 각각의 원하는 파라미터에 대해 제 2 계측 툴에 의해 수집된 원시 데이터일 수 있다.
표 1은 다양한 원시 데이터의 예를 나타낸다.
표 1
(1) HRXRD 툴로부터의 회절 강도 대 회절 각도
(2) X선 형광(x-ray fluorescence; XRF) 툴로부터의 형광 강도 대 광자 에너지
(3) 라만 산란 툴로부터의 라만 산란 강도 대 파수(wavenumber)
(4) X선 광전자 분광(XPS) 툴에 대한 X선 광전자 카운트 대 결합 에너지
(5) 분광 산란계(spectroscopic scatterometer; OCD) 툴에 대한 타원계 또는 반사계 신호 대 파장
(6) X선 반사계(x-ray reflectometer; XRR)에 대한 X선 반사율 대 입사 각도
(7) 각도 기반 산란계 툴에 대한 반사율 대 입사 각도
(8) 소각 X선 산란(small-angle x-ray scattering; SAXS) 툴에 대한 회절 강도 대 각도
상기 언급된 바와 같이, 제 2 계측 툴은 제 1 계측 툴과는 상이하다. 다양한 실시예에 있어서,제 1 계측 툴 및 상기 제 2 계측 툴은: 분광 타원계(spectroscopic ellipsometer; SE); 다중 조명 각도를 갖는 SE; 뮐러(Mueller) 행렬 요소를 측정하는 SE; 단일 파장(single-wavelength) 타원계; 빔 프로파일 타원계; 빔 프로파일 반사계; 광대역 반사 분광계; 단일 파장 반사계; 각도 분해(angle-resolved) 반사계; 이미징 시스템; 산란계(scatterometer); 소각 X선 산란(small-angle X-ray scattering; SAXS) 디바이스; X선 분말 회절(X-ray powder diffraction; XRD) 디바이스; X선 형광(X-ray Fluorescence; XRF) 디바이스; X선 광전자 분광(X-ray photoelectron spectroscopy; XPS) 디바이스; X선 반사율(X-ray reflectivity; XRR) 디바이스; 라만 분광(Raman spectroscopy) 디바이스; 주사 전자 현미경(scanning electron microscopy; SEM) 디바이스; TEM 디바이스; 및 AFM 디바이스 중 각각 상이한 하나일 수 있다.
동작(210)에 나타낸 바와 같이, 제 1 신호 집합 및 제 2 신호 집합 각각의 적어도 일부는, 제 3 신호 집합으로 변환된다. 일실시예에 있어서, 동작(210)은 제 1 신호 집합 및 제 2 신호 집합, 또는 그 부분들에 적용되는 적어도 하나의 전처리 동작(preprocessing operation)을 수반한다. 그러한 전처리 동작(들)은 얼라인먼트(예를 들어, 이미지 얼라인먼트), 필터링(예를 들어, 노이즈 필터링), 정규화(normalization), 수차 보정 및/또는 다른 기술 특정 동작을 포함할 수 있다.
다른 실시예에 있어서, 동작(210)은 제 1 신호 집합과 제 2 신호 집합를 결합하는 것과, 그 다음에, 선택적으로 훈련 컴포넌트의 조건에 의해 개별의 제 1 신호 집합 및 제 2 신호 집합을 정렬한 후, 결합된 신호를 제 3 신호 집합(즉, 통합된 데이터 집합)으로 변환하는 것을 포함한다. 이 결합은 상기 언급된 전처리 동작(들) 후에 발생할 수 있다. 예를 들어, 상이한 계측 툴로부터의 전처리된 신호의 행(column)이 연쇄(concatenate)될 수 있고, 그 다음에 결과의 결합된 데이터 집합이, 선택적으로 작은 수의 파라미터/행을 가지는, 제 3 신호 집합으로 변환될 수 있다. 주요 성분 분석(PCA)은 결합된 데이터를 제 3 신호 집합(이 경우에, 주요 성분)으로 변환하는 모델을 생성하는데 사용될 수 있다. 다른 실시예에 있어서, 독립 성분 분석(ICA)은 결합된 데이터를 제 3 신호 집합으로 변환하는데 사용될 수 있다. 이를 위해, 제 3 신호 집합은 제 1 신호 집합과 제 2 신호 집합에 포함된 변동의 주요 성분일 수 있다.
동작(212)에 나타낸 바와 같이 훈련 컴포넌트의 적어도 하나의 파라미터 각각에 대하여, 제 3 신호 집합과 기준 값 사이의 대응 관계가 결정된다. 이 관계는 제 3 신호 집합(예를 들어, 제 1 신호 집합과 제 2 신호 집합에 포함된 변동의 주요 성분)과 기준 값을 상관시키는 것(correlating)에 의해 결정될 수 있다. 예를 들어, 제 3 신호 집합에서의 신호는 결정된 주요 성분이고, 상관은 주요 성분 진폭과 훈련 컴포넌트 파라미터 사이에 구축될 수 있다.
여전히, 훈련 컴포넌트의 적어도 하나의 파라미터 각각에 대하여, 결정된 대응 관계에 기초하여 훈련 모델이 생성된다[동작(214) 참조]. 그러므로, 제 3 신호 집합은 각 대응 파라미터에 신호를 매핑하는 다중 훈련 모델을 생성하기 위해 알려진 기준 값과 함께 사용될 수 있다. 훈련 모델은 선형 모델, 뉴럴 네트워크, 지지 벡터 머신(support vector machine; SVM) 또는 다른 기계 학습 모델일 수 있다. 동작(206-214)은 타겟 컴포넌트를 측정하기 위해 이용되도록 의도된 각각의 상이한 계측 툴에 대한 훈련 모델을 생성하기 위해 유사하게 반복될 수 있다는 것이 주목된다.
상술된 바와 같이, 훈련 컴포넌트의 특정 파라미터만 본 방법(200)의 대상일 수 있고, 그러한 훈련 모델은 기재된 바와 같이 훈련 컴포넌트의 파라미터 중 임의의 특정 파라미터 또는 훈련 컴포넌트의 모든 파라미터에 대해 생성될 수 있다. 또한, 상술된 바와 같이, 제 3 신호 집합이 결합된 제 1/제 2 신호 집합으로부터 감소된 집합인 것은 선택이므로, 훈련 모델을 생성하기 위해 제 3 신호 집합을 사용하는 것은 변동의 주요 성분에 포커스를 맞추는 훈련 모델을 초래한다(즉, 모든 가능한 파라미터의 전체 파라미터 모델일 필요는 없다).
동작(216)에서, 적어도 제 1 계측 툴 및 제 2 계측 툴을 이용하여 타겟 컴포넌트로부터 신호를 수집한다. 상기 언급된 바와 같이, 추가의 계측 툴이 또한 이용될 수 있다. 그 다음에 동작(218)에서 타겟 컴포넌트에 대한 파라미터 값을 측정하기 위해 타겟 컴포넌트로부터 수집된 신호에 각각 생성된 훈련 모델이 적용된다. 이러한 방식으로, 초기 훈련은 동작(206-214)에 상술된 바와 같이 완료되고, 그 다음에 실제 측정 동안에, 원시 데이터(즉, 신호)가 계측 툴에 의해 타겟 컴포넌트로부터 수집되고 원하는 파라미터 값을 측정하기 위해 원시 데이터에 이전의 훈련된 변환이 적용된다.
상기 방법(200)의 하나의 이점은, 특정 계측 툴에 대한 측정 기술의 미리정의된 모델이 요구되지 않고(즉, 프로브 빔과 타겟 사이의 상호작용 물리학에 기초하여 측정된 신호를 계산하는 정확한 모델); 모든 정보는 연관된 공정 조건을 가지는 원시 데이터의 변동으로부터 간단히 얻어진다[즉, "모델-리스(model-less)" 또는 "모델-프리(model-free)" 방법을 허용함]. 따라서, 각 계측 기술에 대한 모델 개발이 요구되지 않기 때문에 결과까지의 시간이 개선된다.
또한, 이 방법(200)은 광범위한 계측 기술을 갖는 상이한 계측 툴에 적용될 수 있다. 예로서, 방법(200)의 특정 응용은 finFET 디바이스의 소스/드레인 영역에서의 실리콘 게르마늄 조성 및 치수의 특성화에 있을 수 있다. 전형적으로, 이들 디바이스는 상이한 조성 및 치수를 갖는 SiGe의 여러 영역을 포함하고, OCD는 적어도 하나의 영역에서 조성을 정확하게 측정하기 위해 애쓴다. 고해상도 XRD(high resolution XRD; HRXRD) 또는 라만 툴로부터의 원시 데이터가 사용되어 SiGe 조성의 모델-리스 측정을 생성할 수 있고, 이는 이어서 디바이스의 모든 차원 측면을 측정하기 위해 OCD 데이터와 함께 사용될 수 있다.
도 3은 또 다른 실시예에 따른, 다중 계측 툴로부터의 원시 데이터를 결합하는 것으로부터 측정된 디바이스 파라미터를 계측 툴의 모델로 전달하는 방법(300)을 예시한다. 방법(300)은 이전의 도면의 맥락에서 구현될 수 있다. 따라서, 상기 언급된 정의 및 설명은 본 방법(300)에 동등하게 적용될 수 있다.
동작 302에 나타낸 바와 같이, DOE 웨이퍼 집합이 생산된다. 그 다음에, 동작 304에서 원시 데이터가 수집된다. 특히 DOE 웨이퍼 집합은 계측 툴 각각으로부터의 원시 데이터를 수집하기 위해 상이한 계측 툴을 이용하여 측정된다. 동작 306에 나타낸 바와 같이, 원시 데이터는 DOE 조건에 의해 정렬된다(ordered). 이 정렬은 DOE 웨이퍼에 대한 특정치의 정렬된(예를 들어, 분류된) 리스트를 발생할 수 있다. 또한, 동작 308에 나타낸 바와 같이 정렬된 원시 데이터는 통합된 데이터 집합(unified dataset)으로 결합된다.
이어서, 단계(310)에 나타낸 바와 같이, 통합된 데이터 집합 상에 PCA 변환이 수행된다. PCA 변환은 특히 통합된 데이터 집합 내의 주요 성분을 식별하기 위해 수행된다. 또한, 식별된 동작(312)에서, 식별된 주요 성분과 DOE 웨이퍼의 공정 파라미터 사이의 상관관계가 결정된다. 그러면, 이 상관 관계는 훈련 모델을 형성하는데 이용된다.
동작 314에서, 원시 데이터는 계측 툴을 이용하여 제품(즉, 타겟) 웨이퍼로부터 수집된다. 그 다음, 동작 316에서, 이전에 훈련된 변환이 원시 웨이퍼에 적용되어 제품 웨이퍼의 파라미터를 추출한다(즉, 원하는 파라미터 값을 측정한다). 또한, 동작 318에서, 제품 웨이퍼에 대해 측정된 파라미터 값은 회귀를 수행하는데 사용하기 위해 하나 이상의 다른 계측 툴의 모델로 전송된다. 예를 들어, 이러한 모델은 측정된 파라미터 값을 사용하여 잘 알려진 방식으로 회귀될 수 있다. 파라미터는 2014년 3월 24일에 출원된 미국 특허 출원 제14/223,045호 및 2014년 4월 14일에 출원된 미국 특허 출원 제14/252,323호에 기재된 기술들을 적용함으로써 결정된다.
방법(300)의 특정 실시예에 있어서, 임의의 수의 모델-프리 측정치는 임의의 수의 양 모델-프리 및/또는 모델-기반 측정치와 결합될 수 있다. 방법(300)의 또 다른 실시예에 있어서, 모델-프리 측정치는 웨이퍼 상의 별도의 타겟(측정 사이트)에서 얻어질 수 있고, 그 정보는 패터닝된 디바이스 타겟 상에 수행된 모델-프리 및/또는 모델-기반 측정의 집합으로 전달된다(즉, "피드 사이드웨이" 사용 경우). 방법(300)의 또 다른 실시예에 있어서, 이전 공정 단계로부터의 모델-프리 또는 모델-기반 측정 결과는 현재 공정 단계에서 모델-프리 및/또는 모델-기반 측정의 집합으로 피드 포워드될 수 있다(즉, "피드 포워드" 사용 경우). 따라서, 방법(300)은, 모델-프리 측정이 필요하다면 보다 복잡한 모델-기반 방법과 결합되어 측정 기술의 전체적인 복잡성이 개선되고, 따라서 하나의 계측 툴로부터 원시 데이터가 테스트 중인 디바이스의 특정 파라미터를 특성화하기 위해 사용되는 반면, 표준 모델-기반 방법이 또 다른 계측 툴의 데이터를 사용하여 동일한 또는 나머지 파라미터를 결정하는데 사용될 수 있다는 점에서 유연할 수 있다.
도 4는 또 다른 실시예에 따른, 다중 계측 툴로부터의 원시 데이터를 결합하는 시스템(400)을 예시한다. 나타낸 바와 같이, 시스템(400)은 제 1 계측 툴("계측 툴 A")(402) 및 제 2 계측 툴("계측 툴 B")(404)을 포함한다. 계측 툴 A(402) 및 계측 툴 B(404)는 동일한 하드웨어 플랫폼 또는 상이한 하드웨어 플랫폼 상에 위치될 수 있다. 또한, 계측 툴 A(402) 및 계측 툴 B(404)은 상이한 계측 기술을 채용할 수 있다.
또한, 계측 툴 A(402) 및 계측 툴 B(404)는 컴퓨터 프로세서(406)와 모두 통신한다. 프로세서(406)는 계측 툴 A(402) 및 계측 툴 B(404) 중 하나 또는 모두와 동일한 하드웨어 플랫폼 상에 상주할 수 있거나, 계측 툴 A(402) 및 계측 툴 B(404)중 하나 또는 모두와는 상이한 하드웨어 플랫폼 상에 상주할 수 있거나, 계측 툴 A(402) 및 계측 툴 B(404) 중 하나의 컴포넌트일 수 있다.
시스템(400)은, 훈련 컴포넌트의 적어도 하나의 파라미터에 대한 기준 값이 메모리(도시되지 않음)에 저장되도록 동작한다. 계측 툴 A(402)은 훈련 컴포넌트의 적어도 하나의 파라미터에 대한 제 1 신호 집합을 수집하고, 계측 툴 B(404)는 훈련 컴포넌트의 적어도 하나의 파라미터에 대한 제 2 신호 집합을 수집한다.
프로세서(406)는 제 1 신호 집합과 상기 제 2 신호 집합 각각의 적어도 일부를 제 3 신호 집합으로 변환하고, 훈련 컴포넌트의 적어도 하나의 파라미터 각각에 대하여, 제 3 신호 집합과 기준 값 사이의 대응 관계를 결정한다. 또한, 프로세서(406)는 결정된 대응 관계에 기초하여 훈련 모델을 생성한다. 계측 툴 A(402) 및 계측 툴 B(404)에 의해 타겟 컴포넌트로부터 수집된 신호가 프로세서(406)에 의해 식별되고, 타겟 컴포넌트에 대한 파라미터 값을 측정하기 위해 타겟 컴포넌트로부터 수집된 상기 신호에 각각 생성된 훈련 모델이 적용된다.
다양한 실시예가 상술되었지만, 이들은 단지 예로서 제시된 것이고 제한이 아님을 이해해야 한다. 따라서, 바람직한 실시예의 폭과 범위는 상술한 예시적인 실시예들 중 어느 것에 의해서도 제한되지 않아야 하고, 다음의 청구범위 및 그 등가물에 따라서만 정의되어야 한다.

Claims (21)

  1. 방법에 있어서,
    훈련 컴포넌트(training component)를 식별하는 단계;
    상기 훈련 컴포넌트의 적어도 하나의 파라미터에 대해 기준 값을 얻는 단계;
    제 1 계측 툴을 이용하여 상기 훈련 컴포넌트의 적어도 하나의 파라미터에 대해 제 1 신호 집합을 수집하는 단계;
    상기 제 1 계측 툴과는 상이한 제 2 계측 툴을 이용하여 상기 훈련 컴포넌트의 적어도 하나의 파라미터에 대해 제 2 신호 집합을 수집하는 단계;
    상기 제 1 신호 집합과 상기 제 2 신호 집합 각각의 적어도 일부를 제 3 신호 집합으로 변환하는 단계;
    상기 훈련 컴포넌트의 적어도 하나의 파라미터 각각에 대하여, 상기 제 3 신호 집합과 상기 기준 값 사이의 대응 관계를 결정하는 단계;
    상기 훈련 컴포넌트의 적어도 하나의 파라미터 각각에 대하여, 상기 결정된 대응 관계에 기초하여 훈련 모델을 생성하는 단계;
    적어도 상기 제 1 계측 툴 및 상기 제 2 계측 툴을 이용하여 타겟 컴포넌트로부터 신호를 수집하는 단계; 및
    상기 타겟 컴포넌트에 대한 파라미터 값을 측정하기 위해 상기 타겟 컴포넌트로부터 수집된 상기 신호에 각각 생성된 훈련 모델을 적용하는 단계
    를 포함하는 방법.
  2. 제 1 항에 있어서,
    상기 훈련 컴포넌트는 웨이퍼인 것인 방법.
  3. 제 1 항에 있어서,
    상기 타겟 컴포넌트는 상기 훈련 컴포넌트인 것인 방법.
  4. 제 1 항에 있어서,
    상기 훈련 컴포넌트는 상기 타겟 컴포넌트와는 상이한 실험 계획(design of experiment) 컴포넌트인 것인 방법.
  5. 제 1 항에 있어서,
    상기 훈련 컴포넌트의 적어도 하나의 파라미터는, 기하학 파라미터, 재료적 구성 파라미터, 프로세스 파라미터, 또는 오버레이 파라미터 중 적어도 하나를 포함하는 것인 방법.
  6. 제 5 항에 있어서,
    상기 프로세스 파라미터는 포커스 파라미터 및 도즈(dose) 파라미터 중 하나인 것인 방법.
  7. 제 5 항에 있어서,
    상기 기하학 파라미터는 임계 치수, 측벽 각도 및 프로파일 높이 중 하나인 것인 방법.
  8. 제 1 항에 있어서,
    상기 기준 값은, 임계 치수 주사 전자 현미경(critical dimension scanning electron microscopy; CD-SEM), 투과 전자 현미경(transmission electron microscopy; TEM), 및 원자력 현미경(atomic force microscopy; AFM) 중 적어도 하나를 이용하여 얻어지는 것인 방법.
  9. 제 1 항에 있어서,
    상기 제 1 계측 툴 및 상기 제 2 계측 툴은:
    분광 타원계(spectroscopic ellipsometer; SE);
    다중 조명 각도를 갖는 SE;
    뮐러(Mueller) 행렬 요소를 측정하는 SE;
    단일 파장(single-wavelength) 타원계;
    빔 프로파일 타원계;
    빔 프로파일 반사계;
    광대역 반사 분광계;
    단일 파장 반사계;
    각도 분해(angle-resolved) 반사계;
    이미징 시스템;
    산란계(scatterometer);
    소각 X선 산란(small-angle X-ray scattering; SAXS) 디바이스;
    X선 분말 회절(X-ray powder diffraction; XRD) 디바이스;
    X선 형광(X-ray Fluorescence; XRF) 디바이스;
    X선 광전자 분광(X-ray photoelectron spectroscopy; XPS) 디바이스;
    X선 반사율(X-ray reflectivity; XRR) 디바이스;
    라만 분광(Raman spectroscopy) 디바이스;
    주사 전자 현미경(scanning electron microscopy; SEM) 디바이스;
    TEM 디바이스; 및
    AFM 디바이스
    중 각각 상이한 하나인 것인 방법.
  10. 제 1 항에 있어서,
    상기 제 1 신호 집합 및 상기 제 2 신호 집합 각각의 적어도 일부는, 적어도 하나의 전처리 동작(preprocessing operation)을 이용하여 상기 제 3 신호 집합으로 변환되고, 상기 적어도 하나의 전처리 동작은 얼라인먼트, 필터링 및 수차 보정 중 적어도 하나를 포함하는 것인 방법.
  11. 제 1 항에 있어서,
    상기 제 1 신호 집합 및 상기 제 2 신호 집합 각각의 적어도 일부는 주요 성분 분석(principal component analysis; PCA) 또는 독립 성분 분석(independent component analysis; ICA)에 의해 상기 제 3 신호 집합으로 변환되는 것인 방법.
  12. 제 1 항에 있어서,
    상기 제 3 신호 집합은 상기 제 1 신호 집합과 상기 제 2 신호 집합 내에 포함된 메인 변동 성분인 것인 방법.
  13. 제 12 항에 있어서,
    상기 훈련 컴포넌트의 적어도 하나의 파라미터 각각에 대응하는 상기 기준 값과 상기 제 3 신호 집합 사이의 관계는, 상기 기준 값과 상기 제 3 신호 집합을 상관시키는 것(correlating)에 의해 결정되는 것인 방법.
  14. 제 1 항에 있어서,
    상기 훈련 모델은 선형 모델, 뉴럴 네트워크(neural network), 및 지지 벡터 머신(support vector machine; SVM) 중 하나인 것인 방법.
  15. 제 1 항에 있어서,
    회귀(regression)를 수행하는데 사용하기 위해 상기 제 1 계측 툴 및 상기 제 2 계측 툴 중 적어도 하나의 모델로 상기 타겟 컴포넌트에 대해 측정된 파라미터 값을 전송하는 단계를 더 포함하는 방법.
  16. 비일시적(non-transitory) 컴퓨터 판독가능 매체 상에 내장된 컴퓨터 프로그램 제품에 있어서,
    훈련 컴포넌트(training component)를 식별하는 단계;
    상기 훈련 컴포넌트의 적어도 하나의 파라미터에 대해 기준 값을 얻는 단계;
    제 1 계측 툴을 이용하여 상기 훈련 컴포넌트의 적어도 하나의 파라미터에 대해 제 1 신호 집합을 수집하는 단계;
    상기 제 1 계측 툴과는 상이한 제 2 계측 툴을 이용하여 상기 훈련 컴포넌트의 적어도 하나의 파라미터에 대해 제 2 신호 집합을 수집하는 단계;
    상기 제 1 신호 집합과 상기 제 2 신호 집합 각각의 적어도 일부를 제 3 신호 집합으로 변환하는 단계;
    상기 훈련 컴포넌트의 적어도 하나의 파라미터 각각에 대하여, 상기 제 3 신호 집합과 상기 기준 값 사이의 대응 관계를 결정하는 단계;
    상기 훈련 컴포넌트의 적어도 하나의 파라미터 각각에 대하여, 상기 결정된 대응 관계에 기초하여 훈련 모델을 생성하는 단계;
    적어도 상기 제 1 계측 툴 및 상기 제 2 계측 툴을 이용하여 타겟 컴포넌트로부터 신호를 수집하는 단계; 및
    상기 타겟 컴포넌트에 대한 파라미터 값을 측정하기 위해 상기 타겟 컴포넌트로부터 수집된 상기 신호에 각각 생성된 훈련 모델을 적용하는 단계
    를 포함한 방법을 수행하도록 컴퓨터에 의해 실행되도록 된 코드를 포함하는 컴퓨터 프로그램 제품.
  17. 시스템에 있어서,
    훈련 컴포넌트의 적어도 하나의 파라미터에 대한 기준 값을 저장하는 메모리;
    상기 훈련 컴포넌트의 적어도 하나의 파라미터에 대한 제 1 신호 집합을 수집하는 제 1 계측 툴;
    상기 훈련 컴포넌트의 적어도 하나의 파라미터에 대한 제 2 신호 집합을 수집하는 제 2 계측 툴 - 상기 제 2 계측 툴은 상기 제 1 계측 툴과는 상이함 -; 및
    컴퓨터의 프로세서로서,
    상기 제 1 신호 집합과 상기 제 2 신호 집합 각각의 적어도 일부를 제 3 신호 집합으로 변환하고,
    상기 훈련 컴포넌트의 적어도 하나의 파라미터 각각에 대하여, 상기 제 3 신호 집합과 상기 기준 값 사이의 대응 관계를 결정하고,
    상기 훈련 컴포넌트의 적어도 하나의 파라미터 각각에 대하여, 상기 결정된 대응 관계에 기초하여 훈련 모델을 생성하고,
    상기 제 1 계측 툴 및 상기 제 2 계측 툴에 의해 타겟 컴포넌트로부터 수집된 신호를 식별하고,
    상기 타겟 컴포넌트에 대한 파라미터 값을 측정하기 위해 상기 타겟 컴포넌트로부터 수집된 상기 신호에 각각 생성된 훈련 모델을 적용하기 위한, 상기 컴퓨터의 프로세서
    를 포함하는 시스템.
  18. 제 17 항에 있어서,
    상기 제 1 계측 툴 및 상기 제 2 계측 툴은 동일한 하드웨어 플랫폼 상에 상주(reside)하는 것인 시스템.
  19. 제 18 항에 있어서,
    상기 컴퓨터의 프로세서는 상기 제 1 계측 툴 및 상기 제 2 계측 툴과 동일한 하드웨어 플랫폼 상에 상주하는 것인 시스템.
  20. 제 17 항에 있어서,
    상기 제 1 계측 툴 및 상기 제 2 계측 툴은 상이한 하드웨어 플랫폼 상에 상주하는 것인 시스템.
  21. 제 20 항에 있어서,
    상기 컴퓨터의 프로세서는 상기 제 1 계측 툴 또는 상기 제 2 계측 툴과 동일한 하드웨어 플랫폼 상에 상주하는 것인 시스템.
KR1020177016845A 2014-11-19 2015-11-18 다중 계측 툴로부터의 원시 데이터를 결합하는 시스템, 방법 및 컴퓨터 프로그램 제품 KR102310830B1 (ko)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US201462082097P 2014-11-19 2014-11-19
US62/082,097 2014-11-19
US14/942,738 US10152678B2 (en) 2014-11-19 2015-11-16 System, method and computer program product for combining raw data from multiple metrology tools
US14/942,738 2015-11-16
PCT/US2015/061425 WO2016081656A1 (en) 2014-11-19 2015-11-18 System, method and computer program product for combining raw data from multiple metrology tools

Publications (2)

Publication Number Publication Date
KR20170085582A true KR20170085582A (ko) 2017-07-24
KR102310830B1 KR102310830B1 (ko) 2021-10-07

Family

ID=55962340

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020177016845A KR102310830B1 (ko) 2014-11-19 2015-11-18 다중 계측 툴로부터의 원시 데이터를 결합하는 시스템, 방법 및 컴퓨터 프로그램 제품

Country Status (5)

Country Link
US (1) US10152678B2 (ko)
KR (1) KR102310830B1 (ko)
CN (1) CN107077644B (ko)
IL (1) IL251416B (ko)
WO (1) WO2016081656A1 (ko)

Cited By (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20200029607A (ko) * 2017-08-07 2020-03-18 케이엘에이 코포레이션 분광 계측을 사용한 패터닝된 필름 스택의 밴드 갭 측정
WO2020142301A1 (en) * 2019-01-02 2020-07-09 Kla Corporation Machine learning for metrology measurements
KR20210149273A (ko) * 2020-06-01 2021-12-09 파크시스템스 주식회사 광학 측정 장치가 장착된 원자 현미경 및 이를 이용하여 측정 대상의 표면의 정보를 얻는 방법
US11347308B2 (en) 2019-07-26 2022-05-31 Samsung Electronics Co., Ltd. Method and apparatus with gaze tracking
US11619649B1 (en) 2021-11-26 2023-04-04 Park Systems Corp. Atomic force microscope equipped with optical measurement device and method of acquiring information on surface of measurement target using the same

Families Citing this family (27)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN106462078B (zh) * 2014-05-13 2018-10-02 Asml荷兰有限公司 衬底和量测用图案形成装置、量测方法及器件制造方法
US10030971B2 (en) 2015-08-04 2018-07-24 GlobalFoundries, Inc. Measurement system and method for measuring in thin films
NL2017943A (en) 2015-12-23 2017-06-28 Asml Netherlands Bv Metrology methods, metrology apparatus and device manufacturing method
US10712145B2 (en) * 2016-10-20 2020-07-14 Kla-Tencor Corporation Hybrid metrology for patterned wafer characterization
US10921369B2 (en) 2017-01-05 2021-02-16 Xcalipr Corporation High precision optical characterization of carrier transport properties in semiconductors
US20190332969A1 (en) * 2017-02-24 2019-10-31 Omron Corporation Configuring apparatus, method, program and storing medium, and learning data acquiring apparatus and method
WO2018154587A1 (en) * 2017-02-27 2018-08-30 Nova Measuring Instruments Ltd. Tem-based metrology method and system
US10732516B2 (en) * 2017-03-01 2020-08-04 Kla Tencor Corporation Process robust overlay metrology based on optical scatterometry
US20180286643A1 (en) * 2017-03-29 2018-10-04 Tokyo Electron Limited Advanced optical sensor, system, and methodologies for etch processing monitoring
KR102440742B1 (ko) * 2017-05-25 2022-09-05 삼성전자주식회사 반도체 소자 검사 시스템 및 그 동작 방법
US10989652B2 (en) 2017-09-06 2021-04-27 Lam Research Corporation Systems and methods for combining optical metrology with mass metrology
IL273294B2 (en) 2017-09-26 2023-11-01 Nova Ltd Metrology method and system
US10928309B2 (en) 2018-06-29 2021-02-23 Viavi Solutions Inc. Cross-validation based calibration of a spectroscopic model
US10978278B2 (en) 2018-07-31 2021-04-13 Tokyo Electron Limited Normal-incident in-situ process monitor sensor
JP7126412B2 (ja) * 2018-09-12 2022-08-26 東京エレクトロン株式会社 学習装置、推論装置及び学習済みモデル
US11295969B2 (en) 2018-11-27 2022-04-05 International Business Machines Corporation Hybridization for characterization and metrology
US11060846B2 (en) 2018-12-19 2021-07-13 Kla Corporation Scatterometry based methods and systems for measurement of strain in semiconductor structures
US11060982B2 (en) 2019-03-17 2021-07-13 Kla Corporation Multi-dimensional model of optical dispersion
US11610297B2 (en) 2019-12-02 2023-03-21 Kla Corporation Tomography based semiconductor measurements using simplified models
US11520321B2 (en) 2019-12-02 2022-12-06 Kla Corporation Measurement recipe optimization based on probabilistic domain knowledge and physical realization
US11698251B2 (en) 2020-01-07 2023-07-11 Kla Corporation Methods and systems for overlay measurement based on soft X-ray Scatterometry
US11513085B2 (en) 2020-02-20 2022-11-29 Kla Corporation Measurement and control of wafer tilt for x-ray based metrology
US11530913B2 (en) 2020-09-24 2022-12-20 Kla Corporation Methods and systems for determining quality of semiconductor measurements
EP4089484A1 (en) * 2021-05-12 2022-11-16 ASML Netherlands B.V. System and method to ensure parameter measurement matching across metrology tools
KR20240063929A (ko) * 2021-09-09 2024-05-10 에이에스엠엘 네델란즈 비.브이. 계측 데이터 변환 방법
US12019030B2 (en) 2022-01-18 2024-06-25 Kla Corporation Methods and systems for targeted monitoring of semiconductor measurement quality
US20240185058A1 (en) * 2022-12-05 2024-06-06 Applied Materials, Inc. Semiconductor film thickness prediction using machine-learning

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2006512561A (ja) * 2001-12-19 2006-04-13 ケーエルエー−テンカー テクノロジィース コーポレイション 光学分光システムを使用するパラメトリック・プロフィーリング
US20070268498A1 (en) * 2006-05-22 2007-11-22 Tokyo Electron Limited Matching optical metrology tools using diffraction signals
KR101281273B1 (ko) * 2005-07-06 2013-07-03 내셔날 리서치 카운실 오브 캐나다 초음파 감쇠를 사용한 물성 결정 시스템 및 방법

Family Cites Families (26)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5608526A (en) 1995-01-19 1997-03-04 Tencor Instruments Focused beam spectroscopic ellipsometry method and system
US5859424A (en) 1997-04-08 1999-01-12 Kla-Tencor Corporation Apodizing filter system useful for reducing spot size in optical measurements and other applications
US6429943B1 (en) 2000-03-29 2002-08-06 Therma-Wave, Inc. Critical dimension analysis with simultaneous multiple angle of incidence measurements
US6792328B2 (en) * 2002-03-29 2004-09-14 Timbre Technologies, Inc. Metrology diffraction signal adaptation for tool-to-tool matching
US20040267397A1 (en) * 2003-06-27 2004-12-30 Srinivas Doddi Optical metrology of structures formed on semiconductor wafer using machine learning systems
US7478019B2 (en) 2005-01-26 2009-01-13 Kla-Tencor Corporation Multiple tool and structure analysis
US7567351B2 (en) 2006-02-02 2009-07-28 Kla-Tencor Corporation High resolution monitoring of CD variations
US20070238201A1 (en) * 2006-03-28 2007-10-11 Merritt Funk Dynamic metrology sampling with wafer uniformity control
US20070249071A1 (en) * 2006-04-21 2007-10-25 Lei Lian Neural Network Methods and Apparatuses for Monitoring Substrate Processing
US8577820B2 (en) * 2011-03-04 2013-11-05 Tokyo Electron Limited Accurate and fast neural network training for library-based critical dimension (CD) metrology
US8838422B2 (en) * 2011-12-11 2014-09-16 Tokyo Electron Limited Process control using ray tracing-based libraries and machine learning systems
US20130245985A1 (en) 2012-03-14 2013-09-19 Kla-Tencor Corporation Calibration Of An Optical Metrology System For Critical Dimension Application Matching
FR2990285B1 (fr) 2012-05-04 2014-05-23 Commissariat Energie Atomique Procede de determination d'un seuil applicable pour determiner la dimension critique d'au moins une categorie de motifs images par microscopie electronique a force atomique a balayage
US8843875B2 (en) * 2012-05-08 2014-09-23 Kla-Tencor Corporation Measurement model optimization based on parameter variations across a wafer
US10354929B2 (en) * 2012-05-08 2019-07-16 Kla-Tencor Corporation Measurement recipe optimization based on spectral sensitivity and process variation
US10013518B2 (en) 2012-07-10 2018-07-03 Kla-Tencor Corporation Model building and analysis engine for combined X-ray and optical metrology
US9581430B2 (en) 2012-10-19 2017-02-28 Kla-Tencor Corporation Phase characterization of targets
US10769320B2 (en) 2012-12-18 2020-09-08 Kla-Tencor Corporation Integrated use of model-based metrology and a process model
US9291554B2 (en) 2013-02-05 2016-03-22 Kla-Tencor Corporation Method of electromagnetic modeling of finite structures and finite illumination for metrology and inspection
US8892237B2 (en) 2013-03-15 2014-11-18 GlobalFoundries, Inc. Systems and methods for fabricating semiconductor device structures using different metrology tools
US10101670B2 (en) 2013-03-27 2018-10-16 Kla-Tencor Corporation Statistical model-based metrology
US9875946B2 (en) 2013-04-19 2018-01-23 Kla-Tencor Corporation On-device metrology
US9535018B2 (en) 2013-07-08 2017-01-03 Kla-Tencor Corporation Combined x-ray and optical metrology
US10935893B2 (en) 2013-08-11 2021-03-02 Kla-Tencor Corporation Differential methods and apparatus for metrology of semiconductor targets
WO2015090774A1 (en) * 2013-12-17 2015-06-25 Asml Netherlands B.V. Yield estimation and control
US20150332167A1 (en) * 2014-05-13 2015-11-19 Tokyo Electron Limited System and method for modeling and/or analyzing manufacturing processes

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2006512561A (ja) * 2001-12-19 2006-04-13 ケーエルエー−テンカー テクノロジィース コーポレイション 光学分光システムを使用するパラメトリック・プロフィーリング
KR101281273B1 (ko) * 2005-07-06 2013-07-03 내셔날 리서치 카운실 오브 캐나다 초음파 감쇠를 사용한 물성 결정 시스템 및 방법
US20070268498A1 (en) * 2006-05-22 2007-11-22 Tokyo Electron Limited Matching optical metrology tools using diffraction signals

Non-Patent Citations (1)

* Cited by examiner, † Cited by third party
Title
"hybrid metrology for advanced semiconductor fabrication", The international society for optics and photonics, 17 August 2011. *

Cited By (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20200029607A (ko) * 2017-08-07 2020-03-18 케이엘에이 코포레이션 분광 계측을 사용한 패터닝된 필름 스택의 밴드 갭 측정
WO2020142301A1 (en) * 2019-01-02 2020-07-09 Kla Corporation Machine learning for metrology measurements
US11410290B2 (en) 2019-01-02 2022-08-09 Kla Corporation Machine learning for metrology measurements
US11347308B2 (en) 2019-07-26 2022-05-31 Samsung Electronics Co., Ltd. Method and apparatus with gaze tracking
KR20210149273A (ko) * 2020-06-01 2021-12-09 파크시스템스 주식회사 광학 측정 장치가 장착된 원자 현미경 및 이를 이용하여 측정 대상의 표면의 정보를 얻는 방법
US11619649B1 (en) 2021-11-26 2023-04-04 Park Systems Corp. Atomic force microscope equipped with optical measurement device and method of acquiring information on surface of measurement target using the same

Also Published As

Publication number Publication date
KR102310830B1 (ko) 2021-10-07
CN107077644B (zh) 2021-07-20
IL251416B (en) 2019-06-30
WO2016081656A1 (en) 2016-05-26
US20160141193A1 (en) 2016-05-19
CN107077644A (zh) 2017-08-18
US10152678B2 (en) 2018-12-11
IL251416A0 (en) 2017-05-29

Similar Documents

Publication Publication Date Title
KR102310830B1 (ko) 다중 계측 툴로부터의 원시 데이터를 결합하는 시스템, 방법 및 컴퓨터 프로그램 제품
US11874605B2 (en) Verification metrology targets and their design
KR102102021B1 (ko) 초점을 결정하기 위한 방법들 및 장치
TWI760309B (zh) 用於校正計量工具之系統、方法及計算機程式產品
US10062157B2 (en) Compressive sensing for metrology
US20160139032A1 (en) Inspection system and method using an off-axis unobscured objective lens
TWI772278B (zh) 用於快速自動判定用於高效計量之信號之系統、方法及電腦程式產品
TW201510518A (zh) 用於圖案化晶圓之特徵的方法與裝置
KR20150096776A (ko) 모델-기반 계측 및 프로세스 모델의 통합 사용
KR102618395B1 (ko) 분광 계측을 사용한 패터닝된 필름 스택의 밴드 갭 측정
US10648793B2 (en) Library expansion system, method, and computer program product for metrology
TW201730513A (zh) 自度量衡資料的統計階層重建
US9360768B2 (en) Inspection method and apparatus

Legal Events

Date Code Title Description
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant