CN107039436A - 半导体器件及其制造方法 - Google Patents

半导体器件及其制造方法 Download PDF

Info

Publication number
CN107039436A
CN107039436A CN201710017588.5A CN201710017588A CN107039436A CN 107039436 A CN107039436 A CN 107039436A CN 201710017588 A CN201710017588 A CN 201710017588A CN 107039436 A CN107039436 A CN 107039436A
Authority
CN
China
Prior art keywords
pattern
active fin
layer
oxygen
wet etching
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CN201710017588.5A
Other languages
English (en)
Other versions
CN107039436B (zh
Inventor
崔成贤
卓容奭
朴起宽
具本荣
朴起演
徐元吾
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Samsung Electronics Co Ltd
Original Assignee
Samsung Electronics Co Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Samsung Electronics Co Ltd filed Critical Samsung Electronics Co Ltd
Publication of CN107039436A publication Critical patent/CN107039436A/zh
Application granted granted Critical
Publication of CN107039436B publication Critical patent/CN107039436B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66545Unipolar field-effect transistors with an insulated gate, i.e. MISFET using a dummy, i.e. replacement gate in a process wherein at least a part of the final gate is self aligned to the dummy gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • H01L27/0886Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate including transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/02636Selective deposition, e.g. simultaneous growth of mono- and non-monocrystalline semiconductor materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823418MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the source or drain structures, e.g. specific source or drain implants or silicided source or drain structures or raised source or drain structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823431MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823437MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823814Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the source or drain structures, e.g. specific source or drain implants or silicided source or drain structures or raised source or drain structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823821Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823864Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the gate sidewall spacers, e.g. double spacers, particular spacer material or shape
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/16Fillings or auxiliary members in containers or encapsulations, e.g. centering rings
    • H01L23/18Fillings characterised by the material, its physical or chemical properties, or its arrangement within the complete device
    • H01L23/26Fillings characterised by the material, its physical or chemical properties, or its arrangement within the complete device including materials for absorbing or reacting with moisture or other undesired substances, e.g. getters
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • H01L27/092Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors
    • H01L27/0924Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors including transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/08Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions with semiconductor regions connected to an electrode carrying current to be rectified, amplified or switched and such electrode being part of a semiconductor device which comprises three or more electrodes
    • H01L29/0843Source or drain regions of field-effect devices
    • H01L29/0847Source or drain regions of field-effect devices of field-effect transistors with insulated gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/12Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/16Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/12Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/16Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table
    • H01L29/1608Silicon carbide
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/12Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/16Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table
    • H01L29/161Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table including two or more of the elements provided for in group H01L29/16, e.g. alloys
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/417Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions carrying the current to be rectified, amplified or switched
    • H01L29/41725Source or drain electrodes for field effect devices
    • H01L29/41791Source or drain electrodes for field effect devices for transistors with a horizontal current flow in a vertical sidewall, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/6653Unipolar field-effect transistors with an insulated gate, i.e. MISFET using the removal of at least part of spacer, e.g. disposable spacer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/6656Unipolar field-effect transistors with an insulated gate, i.e. MISFET using multiple spacer layers, e.g. multiple sidewall spacers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66568Lateral single gate silicon transistors
    • H01L29/66636Lateral single gate silicon transistors with source or drain recessed by etching or first recessed by etching and then refilled
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66787Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
    • H01L29/66795Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • H01L29/7851Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET with the body tied to the substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/12Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/16Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table
    • H01L29/161Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table including two or more of the elements provided for in group H01L29/16, e.g. alloys
    • H01L29/165Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table including two or more of the elements provided for in group H01L29/16, e.g. alloys in different semiconductor regions, e.g. heterojunctions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/665Unipolar field-effect transistors with an insulated gate, i.e. MISFET using self aligned silicidation, i.e. salicide
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7842Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate
    • H01L29/7848Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate the means being located in the source/drain region, e.g. SiGe source and drain

Landscapes

  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Ceramic Engineering (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Chemical & Material Sciences (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Materials Engineering (AREA)

Abstract

本申请公开了一种半导体器件及其制造方法以及半导体结构。所述半导体器件包括:衬底上的有源鳍;有源鳍上的栅极结构;栅极结构的侧壁上的栅极间隔件结构;以及在有源鳍邻近栅极间隔件结构的至少一部分上的源极/漏极层。所述栅极间隔件结构包括顺序地堆叠的湿法刻蚀停止图案、含氧硅图案和除气阻止图案。

Description

半导体器件及其制造方法
相关申请的交叉引用
本申请要求于2016年1月11日提交至韩国知识产权局(KIPO)的韩国专利申请No.10-2016-0003213的优先权,该申请的全部内容以引用方式并入本文中。
技术领域
示例实施例涉及半导体器件及其制造方法。更具体地,示例实施例涉及包括位于栅极结构的侧壁上的间隔件的半导体器件及其制造方法。
背景技术
在制造鳍形场效应晶体管(finFET)时,可在伪栅极上形成间隔件层,可对该间隔件层进行各向异性刻蚀以形成位于伪栅极的侧壁上的间隔件,可利用伪栅极和间隔件作为刻蚀掩模对有源鳍邻近伪栅极的上部进行刻蚀来形成凹进,并且可执行选择性外延生长(SEG)工艺来在凹进中形成源极/漏极层。可去除伪栅极来形成开口,并且可在开口中形成栅极结构。间隔件可包括用于执行各种刻蚀处理和SEG工艺的适当的材料。
发明内容
示例实施例提供一种具有改善的特性的半导体器件。
示例实施例提供一种制造具有改善的特性的半导体器件的方法。
根据示例实施例,一种半导体器件包括:衬底上的有源鳍;有源鳍上的栅极结构;栅极结构的侧壁上的栅极间隔件结构;以及在有源鳍邻近栅极间隔件结构的至少一部分上的源极/漏极层。栅极间隔件结构可包括堆叠(例如,顺序地堆叠)的湿法刻蚀停止图案、含氧硅图案和除气(outgassing)阻止图案。
根据示例实施例,一种半导体器件包括:分别在衬底的第一区和第二区上的第一有源鳍和第二有源鳍;分别在第一有源鳍和第二有源鳍上的第一栅极结构和第二栅极结构;第一栅极结构的侧壁上的第一栅极间隔件结构;第二栅极结构的侧壁上的第二栅极间隔件结构;在第一有源鳍邻近第一栅极间隔件结构的至少一部分上的第一源极/漏极层;以及在第二有源鳍邻近第二栅极间隔件结构的至少一部分上的第二源极/漏极层。第一栅极间隔件结构可包括堆叠(例如,顺序地堆叠)的第一湿法刻蚀停止图案、第一含氧硅图案和第一除气阻止图案,并且第二栅极间隔件结构可包括堆叠(例如,顺序地堆叠)的第二湿法刻蚀停止图案、第二含氧硅图案和第二除气阻止图案。
根据示例实施例,提供了一种制造半导体器件的方法,其中,可在衬底上形成隔离图案以在衬底上限定有源鳍。可在有源鳍上形成伪栅极结构。可在伪栅极结构的侧壁上形成栅极间隔件结构,其包括堆叠(例如,顺序地堆叠)的湿法刻蚀停止图案、含氧硅图案和除气削减或阻止图案。可利用伪栅极结构和栅极间隔件结构作为刻蚀掩模去除有源鳍的上部,以在有源鳍上形成凹进。可执行选择性外延生长(SEG)工艺以在凹进中形成源极/漏极层。可用栅极结构置换伪栅极结构。
根据示例实施例,提供了一种制造半导体器件的方法。在该方法中,可在衬底上形成隔离图案,以在衬底的第一区和第二区上分别限定第一有源鳍和第二有源鳍。可分别在第一有源鳍和第二有源鳍上形成第一伪栅极结构和第二伪栅极结构。可在第一伪栅极结构的侧壁上形成包括堆叠(例如,顺序地堆叠)的第一湿法刻蚀停止图案、第一含氧硅图案和第一除气削减或阻止图案的第一栅极间隔件结构。可执行第一选择性外延生长(SEG)工艺来在第一有源鳍邻近第一栅极间隔件结构的至少一部分上形成第一源极/漏极层。可在第二伪栅极结构的侧壁上形成包括堆叠(例如,顺序地堆叠)的第二湿法刻蚀停止图案、第二含氧硅图案和第二除气削减或阻止图案的第二栅极间隔件结构。可执行第二选择性外延生长(SEG)工艺来在第二有源鳍邻近第二栅极间隔件结构的至少一部分上形成第二源极/漏极层。可用第一栅极结构和第二栅极结构分别置换第一伪栅极结构和第二伪栅极结构。
在制造半导体器件的方法中,伪栅极结构的侧壁上的栅极间隔件结构可包括除气削减或阻止图案,从而在通过SEG工艺形成源极/漏极层时,例如,可防止或阻止含氧硅图案中的碳从其中除气,从而可不在源极/漏极层中产生任何缺陷。另外,可在含氧硅图案下方形成湿法刻蚀停止图案,从而在执行用于以栅极结构置换伪栅极结构的湿法刻蚀工艺时,可不破坏而是保留栅极间隔件结构。
示例实施例涉及一种半导体结构,其包括:衬底上的至少一个有源鳍;所述至少一个有源鳍上的栅极结构;栅极结构的侧壁上的栅极间隔件结构,所述栅极间隔件结构构造为减少碳的除气;以及在所述至少一个有源鳍邻近栅极间隔件结构的至少一部分上的源极/漏极层。
附图说明
通过以下参考附图的详细说明,将更加清晰地理解各示例性实施例。图1至图71描绘了本文中所描述的非限制性示例实施例。
图1至图38是示出根据示例实施例的制造半导体器件的方法的各个阶段的平面图和截面图;以及
图39至图71是示出根据示例实施例的制造半导体器件的方法的各个阶段的平面图和截面图。
具体实施方式
在各种示例实施例的以下详细描述中描述了这些和其它特征和优点,或者根据各种示例实施例的以下详细描述,这些和其它特征和优点显而易见。
当在本说明书中结合数值使用术语“约”或“基本”时,其意为相关的数值包括所述数值的±10%左右的容差。此外,当在本说明书中提到百分比时,其意为那些百分比是基于重量的,即,重量百分比。表述“最高达”包括从零至所列上限以及其间所有值这些量。当指定范围时,所述范围包括其间的例如以0.1%的增量增加的所有值。此外,当结合几何形状使用词语“一般”和“基本”时,其意为:不要求该几何形状的精度,而是该形状的自由范围也在本公开的范围内。虽然各实施例的管状元件可为圆柱形的,但是其它管状剖面形状是预期的,例如方形、矩形、椭圆形、三角形和其它形状。
在附图中,为了清楚示意,会夸大层和区域的尺寸。相同的附图标记始终指代相同的元件。相同的附图标记在说明书和附图中始终指示相同的部件。
图1至图38是示出根据示例实施例的制造半导体器件的方法的各个阶段的平面图和截面图。
具体地,图1、图4、图6、图9、图12、图15、图19、图22、图25、图28、图31和图34为平面图,图2至图3、图5、图7至图8、图10至图11、图13至图14、图16至图18、图20至图21、图23至图24、图26至图27、图29至图30、图32至图33和图35至图38为截面图。
图2、图3、图5、图10、图13、图16、图18、图20、图23、图32和图35是分别沿着相应平面图的线A-A'截取的截面图,图7、图29和图36分别是沿着相应平面图的线B-B'截取的截面图,图8、图11、图14、图17、图21、图24、图26、图27、图30、图33、图37和图38分别是沿着相应平面图的线C-C'截取的截面图。
参照图1和图2,可至少部分地刻蚀衬底100的上部以形成第一凹进110。
衬底100可包括诸如硅、锗、硅-锗等的半导体材料,或者诸如GaP、GaAs、GaSb等的III-V族半导体化合物。在一些实施例中,衬底100可为绝缘体上硅(SOI)衬底,或者绝缘体上锗(GOI)衬底。
由于在衬底100上形成第一凹进110,可在衬底100上限定有源区105。有源区105可从衬底100的上表面突出,因而也可称作有源鳍。衬底100的其上没有形成有源鳍105的区可称作场区。
在示例实施例中,有源鳍105可在基本与衬底100的上表面平行的第一方向上延伸,并且可在第二方向上形成多个有源鳍105,第二方向可基本平行于衬底100的上表面并且与第一方向交叉。在示例实施例中,第一方向和第二方向可以直角彼此交叉,因而可基本上彼此垂直。
在示例实施例中,有源鳍105可具有从其顶部向其底部恒定的宽度,或者,有源鳍105的侧壁可相对于衬底100的上表面具有恒定的斜率。图2示出了有源鳍105的侧壁相对于衬底100的上表面具有恒定的斜率。
然而,参照图3,有源鳍105可具有从其顶部向其底部逐渐增大的宽度,并且侧壁的宽度的增长率也可从其顶部向其底部逐渐增大。由于刻蚀处理的特性,当第一凹进110形成为具有较大的长宽比时,侧壁的宽度的增长率可从其顶部向其底部逐渐增大。下面,为了便于解释,将仅仅说明图2中示出的有源鳍105。
参照图4和图5,可在衬底100上形成隔离图案120以填充第一凹进110的下部。
在示例实施例中,可通过以下过程形成隔离图案120:在衬底100上形成隔离层以充分填充第一凹进110;对隔离层进行平面化直至可暴露出衬底100的上表面;以及去除隔离层的上部来暴露出第一凹进110的上部。隔离层可由诸如氧化硅的氧化物形成,或者可包括诸如氧化硅的氧化物。
在示例实施例中,有源鳍105可包括:下部有源图案105b,其侧壁可被隔离图案120覆盖;以及上部有源图案105a,其未被隔离图案120覆盖而是从隔离图案120突出。在示例实施例中,上部有源图案105a在第二方向上的宽度可稍小于下部有源图案105b的宽度。
在示例实施例中,隔离图案120可形成为具有多层结构。具体地,隔离图案120可包括:在第一凹进110的内壁上堆叠(例如,顺序地堆叠)的第一衬垫和第二衬垫(未示出);以及填充第一凹进110在第二衬垫上的其余部分的填充绝缘层(未示出)。例如,第一衬垫可由诸如氧化硅的氧化物形成或者可包括诸如氧化硅的氧化物,第二衬垫可由诸如氮化硅的氮化物或多晶硅形成或者可包括诸如氮化硅的氮化物或多晶硅,并且填充绝缘层可由诸如氧化硅的氧化物形成或者可包括诸如氧化硅的氧化物。
参照图6至图8,可在衬底100上形成伪栅极结构。
可通过以下过程形成伪栅极结构:在衬底100和隔离图案120上形成(例如,顺序地形成)伪栅极绝缘层、伪栅电极层和伪栅极掩模层;对伪栅极掩模层进行图案化以形成伪栅极掩模150;以及利用伪栅极掩模150作为刻蚀掩模对伪栅电极层和伪栅极绝缘层进行刻蚀(例如,顺序地刻蚀)。
因此,伪栅极结构可包括在衬底100上堆叠(例如,顺序地堆叠)的伪栅极绝缘图案130、伪栅电极140和伪栅极掩模150。
伪栅极绝缘层可由诸如氧化硅的氧化物形成或者可包括诸如氧化硅的氧化物,伪栅电极层可由例如多晶硅形成或者可包括例如多晶硅,并且伪栅极掩模层可由诸如氮化硅的氮化物形成或者可包括诸如氮化硅的氮化物。
可通过化学气相沉积(CVD)工艺、原子层沉积(ALD)工艺等形成伪栅极绝缘层。可替换地,可通过热氧化处理在衬底100的上部形成伪栅极绝缘层,在这种情况下,伪栅极绝缘层可仅形成在上部有源图案105a上。可通过CVD工艺、ALD工艺等形成伪栅电极层和伪栅极掩模层。
在示例实施例中,伪栅极结构可形成为在第二方向上延伸,并且可在第一方向上形成多个伪栅极结构。
参照图9至图11,可在有源鳍105和隔离图案120上形成间隔件层结构210以覆盖伪栅极结构。
在示例实施例中,间隔件层结构210可包括堆叠(例如,顺序地堆叠)的扩散削减或阻止层160、湿法刻蚀停止层170、含氧硅层180、除气削减或阻止层190和补偿层200。
扩散削减或阻止层160可削减扩散到有源鳍105中的湿法刻蚀停止层170的成分,或者阻止湿法刻蚀停止层170的成分扩散到有源鳍105中。例如,当湿法刻蚀停止层170包括碳氮化硅时,可通过扩散削减或阻止层160阻止湿法刻蚀停止层170中的碳扩散到有源鳍105中。扩散削减或阻止层160可由例如氮化硅形成,或者可包括例如氮化硅。
随后进行的湿法刻蚀处理可不去除湿法刻蚀停止层170。湿法刻蚀停止层170可由例如碳氮化硅形成,或者可包括例如碳氮化硅。
含氧硅层180可包括氧,从而其介电常数至少低于氮化硅的介电常数。含氧硅层180可由例如氧碳氮化硅、二氧化硅和/或氮氧化硅等形成,或者可包括例如氧碳氮化硅、二氧化硅和/或氮氧化硅等。
除气削减或阻止层190可在后续处理中削减或者阻止含氧硅层180的成分(例如,碳)除气。除气削减或阻止层190可由例如氮化硅形成,或者可包括例如氮化硅。
补偿层200可对通过随后各向异性地刻蚀间隔件层结构210可形成的初步栅极间隔件结构212(参照图12至图14)的厚度进行补偿,从而使得初步栅极间隔件结构212可具有期望的厚度。补偿层200可由例如二氧化硅形成,或者可包括例如二氧化硅。
参照图12至图14,可各向异性地刻蚀间隔件层结构210以在伪栅极结构在第一方向上相对的侧壁中的每一个或至少一个上形成初步栅极间隔件结构212。初步鳍间隔件结构214可形成在上部有源图案105a在第二方向上相对的侧壁中的每一个或至少一个上。
初步栅极间隔件结构212可包括堆叠(例如,顺序地堆叠)的第一扩散削减或阻止图案162、第一湿法刻蚀停止图案172、第一含氧硅图案182、第一除气削减或阻止图案192和第一补偿图案202。初步栅极间隔件结构214可包括堆叠(例如,顺序地堆叠)的第二扩散削减或阻止图案164、第二湿法刻蚀停止图案174、第二含氧硅图案184、第二除气削减或阻止图案194和第二补偿图案204。
参照图15至图17,可对有源鳍105邻近初步栅极间隔件结构212的上部进行刻蚀以形成第二凹进230。
具体地,可利用有源鳍105的上部的侧壁上的伪栅极结构和初步栅极间隔件结构212作为刻蚀掩模对有源鳍105的上部进行刻蚀,以形成第二凹进230。在示例实施例中,当形成第二凹进230时,可去除包括在干法刻蚀处理中可容易去除的二氧化硅的第一补偿图案202,然而,可不去除而是保留包括在干法刻蚀处理中不可容易去除的氮化硅的第一除气削减或阻止图案192。
因此,初步栅极间隔件结构212可转化为栅极间隔件结构222,其包括堆叠(例如,顺序地堆叠)的第一扩散削减或阻止图案162、第一湿法刻蚀停止图案172、第一含氧硅图案182以及第一除气削减或阻止图案192。
当形成第二凹进230时,可大部分去除邻近有源鳍105的初步鳍间隔件结构214,并且可保留初步鳍间隔件结构214的仅仅至少一部分,其可称作鳍间隔件结构224。初步鳍间隔件结构214可具有包括在干法刻蚀处理中可容易去除的二氧化硅的第二补偿图案204,因此可被容易地去除。
鳍间隔件结构224可包括堆叠(例如,顺序地堆叠)的第二扩散削减或阻止图案164、第二湿法刻蚀停止图案174、第二含氧硅图案184和第二除气削减或阻止图案194。在示例实施例中,保留的鳍间隔件结构224的顶表面的高度可等于或低于第二凹进230下的有源鳍105的高度。
图15至图17示出了上部有源图案105a的仅仅一部分被刻蚀以形成第二凹进230,从而使得第二凹进230的底部高于下部有源图案105b的顶表面,然而,本发明构思可不限于此。
例如,参照图18,当形成第二凹进230时,可去除上部有源图案105a,从而使得第二凹进230的底部可与下部有源图案105b的顶表面基本共面。这种情况下,可完全去除初步鳍间隔件结构214,从而可不保留鳍间隔件结构224。
可替换地,当形成第二凹进230时,可不仅刻蚀上部有源图案105a而且刻蚀下部有源图案105b的一部分,从而第二凹进230的底部可低于下部有源图案105b的其上没有形成第二凹进230的顶表面。
在示例实施例中,可原位执行用于形成第二凹进230的刻蚀处理以及用于形成初步栅极间隔件结构212和初步鳍间隔件结构214的刻蚀处理。
参照图19至图21,可以在第二凹进230中形成源极/漏极层240。
在示例实施例中,可利用被第二凹进230暴露的有源鳍105的上表面作为种子,通过选择性外延生长(SEG)工艺形成源极/漏极层240。
在示例实施例中,可通过提供硅源气体、锗源气体、刻蚀气体和运载气体来执行SEG工艺。可利用例如硅烷(SiH4)气体、乙矽烷(Si2H6)气体、二氯硅烷(DCS)(SiH2Cl2)气体等作为硅源气体,利用例如锗烷(GeH4)气体等作为锗源气体,利用例如氯化氢(HCl)气体作为刻蚀气体并且利用例如氢气(H2)气体等作为运载气体,来执行SEG工艺。因此,可形成单晶锗硅层来作为源极/漏极层240。此外,还可使用诸如乙硼烷(B2H6)气体的p型杂质源气体来形成掺有p型杂质的单晶硅-锗层作为源极/漏极层240。因此,源极/漏极层240可用作正沟道金属氧化物半导体(PMOS)晶体管的源极/漏极区。
在SEG处理中,当栅极间隔件结构222的第一含氧硅图案182包括例如碳氮化硅时,第一含氧硅图案182的碳会被除气,从而在源极/漏极层240上会形成小平面(facet)以在其中产生缺陷。然而,在示例实施例中,第一除气削减或阻止图案192可形成在栅极间隔件结构222的第一含氧硅图案182上,因此在执行SEG工艺时,可阻止碳从第一含氧硅图案182除气。
保留的鳍间隔件224也可具有第二除气削减或阻止图案194,从而可阻止碳从第二含氧硅图案184除气。
源极/漏极层240不仅可在竖直方向上还可在水平方向上生长以填充第二凹进230,并且可接触栅极间隔件结构222的侧壁。例如,当衬底100为(100)硅衬底且有源鳍105具有<110>晶向时,源极/漏极层240可沿着<110>晶向具有最低的生长率,从而源极/漏极层240可具有{111}晶面。
在示例实施例中,源极/漏极层240可具有沿着第二方向截取的剖面,并且源极/漏极层240的剖面可具有与五角形相似或相同的形状。在该形状中,除接触有源鳍105的上表面的一条边之外的四条边中的每一条或至少一条可相对于衬底100的上表面或隔离图案120的上表面成约54.7度的角。
在示例实施例中,当在第二方向上放置的各有源鳍105彼此靠近时,在各个有源鳍105上生长的源极/漏极层240可彼此融合。图19至图21示出了在相邻的两个有源鳍105上生长的两个源极/漏极层240彼此融合,然而,本发明构思可不限于此。因此,比两个更多的源极/漏极层240可彼此融合。
到目前为止,已经示出了源极/漏极层240用作PMOS晶体管的源极/漏极区,然而,本发明构思可不限于此,源极/漏极层240也可用作负沟道金属氧化物半导体(NMOS)晶体管的源极/漏极区。
具体地,可利用硅源气体、碳源气体、刻蚀气体和运载气体执行SEG工艺,从而可形成单晶硅的碳化物层作为源极/漏极层240。在SEG工艺中,可利用例如硅烷(SiH4)气体、乙矽烷(Si2H6)气体、二氯硅烷(SiH2Cl2)气体等作为硅源气体,可利用例如单甲基硅烷(SiH3CH3)气体等作为碳源气体,可利用例如氯化氢(HCl)气体作为刻蚀气体,并且可利用例如氢气(H2)气体等作为运载气体。此外,还可使用诸如磷化氢(PH3)气体的n型杂质源气体来形成掺有n型杂质的单晶硅碳化物层。
可替换地,可利用硅源气体、刻蚀气体和运载气体执行SEG工艺,从而可形成单晶硅层作为源极/漏极层240。在SEG工艺中,还可使用诸如磷化氢(PH3)气体的n型杂质源气体来形成掺有n型杂质的单晶硅层。
参照图22至图24,可在有源鳍105和隔离图案120上形成绝缘层250来将伪栅极结构、栅极间隔件结构222、鳍间隔件结构224和源极/漏极层240覆盖到足够的高度,并且可将绝缘层250平面化,直到可暴露出伪栅极结构的伪栅电极140的上表面。
在平面化处理中,可去除伪栅极掩模150,并且可至少部分地去除栅极间隔件结构222的上部。
融合的源极/漏极层240与隔离图案120之间的空间可不被绝缘层250填充,从而可形成气隙255。
绝缘层250可由诸如东燃硅碳烷(TOSZ)的硅的氧化物形成,或者可包括诸如东燃硅碳烷(TOSZ)的硅的氧化物。可通过化学机械抛光(CMP)处理和/或回蚀处理执行平面化处理。
参照图25至图27,可去除暴露的伪栅电极140和在其下的伪栅极绝缘图案130以形成开口260,其暴露出栅极间隔件结构222的内侧壁和有源鳍105的上表面。
在示例实施例中,可通过干法刻蚀处理或湿法刻蚀处理去除伪栅电极140和伪栅极绝缘图案130。
可利用例如氢氟酸(HF)执行湿法刻蚀处理,并且可至少部分地去除第一扩散削减或阻止图案162以暴露第一湿法刻蚀停止图案172。第一湿法刻蚀停止图案172可不容易被湿法刻蚀处理去除,从而可保留第一湿法刻蚀停止图案172的至少一部分。因此,可不破坏栅极间隔件结构222的保留部分。
第一扩散削减或阻止图案162在第一湿法刻蚀停止图案172的侧壁上的一部分可大部分被去除,然而,第一扩散削减或阻止图案162在有源鳍105的上表面上的至少一部分可不被完全去除而是至少部分地保留。因此,邻近第一扩散削减或阻止图案162的源极/漏极层240可不通过开口260暴露出来。
图26示出了至少部分地去除第一扩散削减或阻止图案162,使得保留的第一扩散削减或阻止图案162的侧壁可与第一湿法刻蚀图案172的侧壁的延伸平面对齐,因此,第一扩散削减或阻止图案162的上表面可具有与第一湿法刻蚀停止图案172的底部基本相等的面积。
然而,本发明构思不限于此。
例如,参照图27,可至少部分地去除第一扩散削减或阻止图案162,使得保留的第一扩散削减或阻止图案162的侧壁可不与第一湿法刻蚀图案172的侧壁的延伸平面对齐,并且第一扩散削减或阻止图案162的上表面可具有比第一湿法刻蚀停止图案172的底部更小的面积。
参照图28至图30,可形成栅极结构310来填充开口260。
具体地,在通过开口260暴露的有源鳍105的上表面上执行热氧化处理以形成界面图案270之后,可在界面图案270、隔离图案120、栅极间隔件结构222和绝缘层250上形成(例如,顺序地形成)栅极绝缘层和功函数控制层,并且可在功函数控制层上形成栅电极层以充分填充开口260的其余部分。
栅极绝缘层可通过CVD工艺或ALD工艺由具有高介电常数的金属氧化物(例如,氧化铪、氧化钽、氧化锆等)形成。功函数控制层可由金属氮化物或金属合金形成,例如,氮化钛、钛铝、氮化钛铝、氮化钽、氮化钽铝等,并且栅电极层可由具有低电阻的材料形成,例如,诸如铝、铜、钽等的金属或者其金属氮化物。可通过ALD工艺、物理气相沉积(PVD)工艺等形成功函数控制层和栅电极层。在示例实施例中,还可执行热处理工艺,例如,快速热退火(RTA)处理、尖峰快速热退火(spike RTA)处理、闪速快速热退火(flash RTA)处理或激光退火处理。
类似于栅极绝缘层或栅电极层,可通过CVD处理或ALD处理等替代热氧化工艺来形成界面图案270。这种情况下,界面图案270不仅可形成在有源鳍105的上表面上还可形成在隔离图案120的上表面和栅极间隔件结构222的内侧壁上。
可对栅电极层、功函数控制层和栅极绝缘层进行平面化直到可暴露出绝缘层250的上表面,以形成在界面图案270、隔离图案120和栅极间隔件结构222的内侧壁上堆叠(例如,顺序地堆叠)的栅极绝缘图案280和功函数控制图案290以及在功函数控制图案290上填充开口260的部分的栅电极300。
因此,栅电极300的底部和侧壁可被功函数控制图案290覆盖。在示例实施例中,可通过CMP处理和/或回蚀处理执行平面化处理。
堆叠(例如,顺序地堆叠)的界面图案270、栅极绝缘图案280、功函数控制图案290和栅电极300可形成栅极结构310,并且栅极结构310和源极/漏极层240一起可形成PMOS晶体管或NMOS晶体管,这取决于源极/漏极层240的导电类型。
参照图31至图33,可在绝缘层250、栅极结构310和栅极间隔件结构222上形成(例如,顺序地形成)覆盖层320和绝缘间层330,并且可形成穿过绝缘层250、覆盖层320和绝缘间层330的接触孔340,以暴露出源极/漏极层240的上表面。
覆盖层320可由诸如氮化硅、氮氧化硅、碳氮化硅、氧碳氮化硅等的氮化物形成,并且绝缘间层330可由诸如正硅酸乙脂(TEOS)的硅的氧化物形成。
在示例实施例中,接触孔340可形成为与栅极间隔件结构222自对齐,从而可在第一方向上暴露源极/漏极层240的上表面的整个部分。然而,本发明构思可不限于此,接触孔340可不相对于栅极间隔件结构222自对齐,而是可在第一方向上仅暴露源极/漏极层240的上表面的一部分。
参照图34至图37,在源极/漏极层240的暴露的上表面、接触孔340的侧壁和绝缘间层330的上表面上形成第一金属层之后,可在其上执行热处理工艺以在源极/漏极层240上形成金属硅化物图案350。可去除第一金属层的未反应部分。
第一金属层可由诸如钛、钴、镍等的金属形成。
可在金属硅化物图案350、接触孔340的侧壁和绝缘间层330的上表面上形成阻挡层,可在阻挡层上形成第二金属层以填充接触孔340,并且可对第二金属层和阻挡层进行平面化直到可暴露绝缘间层330的上表面。
因此,可在金属硅化物图案350上形成接触插塞380以填充接触孔340。
阻挡层可由诸如氮化钛、氮化钽、氮化钨等的金属氮化物形成,并且第二金属层可由诸如钨、铜等的金属形成。
接触插塞380可包括金属图案370和覆盖金属图案370的底部和侧壁的阻挡图案360。
还可形成与接触插塞380电连接的走线(未示出)和过孔(未示出)以完成半导体器件。
图38示出了半导体器件包括这样的第一扩散削减或阻止图案162,其上表面的面积可小于第一湿法刻蚀停止图案172的底部,如图27所示。
如上所述,栅极间隔件结构222可包括在伪栅极结构的侧壁上的第一除气削减或阻止图案192,因此,当通过SEG工艺形成源极/漏极层240时,例如,可阻止第一含氧硅图案182中的碳从第一含氧硅图案182除气,从而可不在源极/漏极层240中产生任何缺陷。此外,第一湿法刻蚀停止图案172可形成在第一含氧硅图案182下方,因此,当执行用于以栅极结构310置换伪栅极结构的湿法刻蚀处理时,可不破坏而是保留栅极间隔件结构222。
当第一湿法刻蚀停止图案172包括例如碳氮化硅时,可通过第一湿法刻蚀图案172下方的第一扩散削减或阻止图案162阻止第一湿法刻蚀停止图案172中的碳扩散到有源鳍105中。此外,即使可在湿法刻蚀处理中容易地去除第一扩散削减或阻止图案162以使得第一扩散削减或阻止图案162在第一湿法刻蚀停止图案172的侧壁上的一部分被完全地去除,第一扩散削减或阻止图案162在有源鳍105上的至少一部分也可不完全地去除,从而在湿法刻蚀处理中形成的开口260可不暴露源极/漏极层240。
栅极间隔件结构222的第一湿法刻蚀停止图案172和第一含氧硅图案182中的每一个或至少一个可具有沿着第一方向截取的截面,其可具有类L形状,并且第一除气削减或阻止图案192的侧壁和底部可被第一含氧硅图案182覆盖。
图39至图71是示出根据示例实施例的制造半导体器件的方法的各个阶段的平面图和截面图。具体地,图39、图41、图44、图48、图51、图55、图59、图63和图67为平面图,图40、图42至图43、图45至图47、图49至图50、图52至图54、图56至图58、图60至图62、图64至图66和图68至图71为截面图。
图40、图45、图49、图52、图56、图60和图68分别是沿着相应平面图的线D-D'截取的截面图,图42、图64和图69分别是沿着相应平面图的线E-E'截取的截面图,图43、图46、图50、图53、图57、图61、图65和图70分别是沿着相应平面图的线F-F'截取的截面图,图47、图54、图58、图62、图66和图71分别是沿着相应平面图的线G-G'截取的截面图。
该方法是将参照图1至图38描述的方法应用于互补金属氧化物半导体(CMOS)晶体管。因此,本方法可包括与参照图1至图38描述的处理基本相同或相似的处理,并且在此处省略关于它们的详细描述。
参照图39和图40,可执行与参照图1至图5描述的处理基本相同或相似的处理。
因此,可至少部分地刻蚀衬底400的上部,以形成第一凹进412和第二凹进414。
衬底400可包括第一区I和第二区II。在示例实施例中,第一区I可用作PMOS区,第二区II可用作NMOS区。
由于在衬底400上形成第一凹进412和第二凹进414,可在衬底400的第一区I和第二区II上分别限定第一有源区402和第二有源区404。第一有源区402和第二有源区404也可分别称作第一有源鳍和第二有源鳍。衬底400的其上不形成有源鳍的区可称作场区。
在示例实施例中,第一有源区402和第二有源区404中的每一个或至少一个可在基本与衬底400的上表面平行的第一方向上延伸,并且可在第二方向上形成多个第一有源鳍402和多个第二有源鳍404,第二方向可基本平行于衬底400的上表面并且与第一方向交叉。在示例实施例中,第一方向和第二方向可以直角彼此交叉,因而可基本上彼此垂直。
可在衬底400上形成隔离图案420以填充第一凹进412和第二凹进414的下部。
第一有源鳍402可包括:第一下部有源图案402b,其侧壁可被隔离图案420覆盖;以及第一上部有源图案402a,其未被隔离图案420覆盖而是从隔离图案420突出。第二有源鳍404可包括:第二下部有源图案404b,其侧壁可被隔离图案420覆盖;以及第二上部有源图案404a,其未被隔离图案420覆盖而是从隔离图案420突出。
参照图41至图43,可执行与参照图6至图8描述的处理基本相同或相似的处理,以在衬底400的第一区I和第二区II上分别形成第一伪栅极结构和第二伪栅极结构。
第一伪栅极结构可包括在衬底400的第一区I上堆叠(例如,顺序地堆叠)的第一伪栅极绝缘图案432、第一伪栅电极442和第一伪栅极掩模452,第二伪栅极结构可包括在衬底400的第二区II上堆叠(例如,顺序地堆叠)的第二伪栅极绝缘图案434、第二伪栅电极444和第二伪栅极掩模454。
参照图44至图47,可执行与参照图9至图11描述的处理基本相同或相似的处理,以在第一有源鳍402和第二有源鳍404以及隔离图案420上形成初步间隔件层结构510,来覆盖第一伪栅极结构和第二伪栅极结构。
在示例实施例中,初步间隔件层结构510可包括堆叠(例如,顺序地堆叠)的扩散削减或阻止层460、湿法刻蚀停止层470、含氧硅层480、除气削减或阻止层490和第一补偿层500。
扩散削减或阻止层460可由例如氮化硅形成,湿法刻蚀停止层470可由例如碳氮化硅形成,含氧硅层480可由例如氧碳氮化硅、二氧化硅和/或氮氧化硅等形成,除气削减或阻止层490可由例如氮化硅形成,补偿层500可由例如二氧化硅形成。
第一光致抗蚀剂图案10可形成为覆盖衬底400的第二区II,并且可执行与参照图12至图14描述的处理基本相同或相似的处理来各向异性地刻蚀初步间隔件层结构510。
因此,可在位于衬底400的第一区I上的第一伪栅极结构在第一方向上相对的侧壁的每一个或至少一个上形成第一初步栅极间隔件结构512,并且可在位于衬底400的第一区I上的第一上部有源图案402a在第二方向上相对的侧壁的每一个或至少一个上形成第一初步鳍间隔件结构514。
第一初步栅极间隔件结构512可包括堆叠(例如,顺序地堆叠)的第一扩散削减或阻止图案462、第一湿法刻蚀停止图案472、第一含氧硅图案482、第一除气削减或阻止图案492和第一补偿图案502,并且第一初步鳍间隔件结构514可包括堆叠(例如,顺序地堆叠)的第二扩散削减或阻止图案464、第二湿法刻蚀停止图案474、第二含氧硅图案484、第二除气削减或阻止图案494和第二补偿图案504。
初步间隔件层结构510的位于衬底400的第二区II上的部分可保留。
参照图48至图50,在去除第一光致抗蚀剂图案10之后,可执行与参照图15至图21描述的处理基本相同或相似的处理。
可对第一有源鳍402邻近第一初步栅极间隔件结构512的上部进行刻蚀以形成第三凹进(未示出)。也就是说,可利用第一伪栅极结构和位于第一伪栅极结构的侧壁上的第一初步栅极间隔件结构512作为刻蚀掩模来形成第三凹进。可去除包括在干法刻蚀处理中可容易去除的二氧化硅的第一补偿图案502,然而,可不去除而是保留包括在干法刻蚀处理中不可容易去除的氮化硅的第一除气削减或阻止图案492。因此,第一初步栅极间隔件结构512可转化为第一栅极间隔件结构522,其包括堆叠(例如,顺序地堆叠)的第一扩散削减或阻止图案462、第一湿法刻蚀停止图案472、第一含氧硅图案482以及第一除气削减或阻止图案492。
当形成第三凹进时,可大部分去除邻近第一有源鳍402的第一初步鳍间隔件结构514,并且可保留第一初步鳍间隔件结构514的仅仅至少一部分,其可称作第一鳍间隔件结构524。第一鳍间隔件结构524可包括堆叠(例如,顺序地堆叠)的第二扩散削减或阻止图案464、第二湿法刻蚀停止图案474、第二含氧硅图案484和第二除气削减或阻止图案494。在示例实施例中,保留的第一鳍间隔件结构524的顶表面的高度可等于或低于第三凹进下的第一有源鳍402的高度。
在用于形成第三凹进的干法刻蚀工艺中,可去除包括二氧化硅的第一补偿层500,因此,包括扩散削减或阻止层460、湿法刻蚀停止层470、含氧硅层480和除气削减或阻止层490的间隔件层结构520可保留在衬底400的第二区II上。
可利用通过第三凹进暴露的第一有源鳍402的上表面作为种子,利用选择性外延生长(SEG)工艺形成第一源极/漏极层542。
在示例实施例中,可提供硅源气体、锗源气体、p型杂质源气体、刻蚀气体和运载气体执行SEG工艺,从而可形成掺有p型杂质的单晶硅-锗层作为第一源极/漏极层542。第一源极/漏极层542可用作PMOS晶体管的源极/漏极区。
在SEG工艺期间,第一除气削减或阻止图案492可形成在第一栅极间隔件结构522的第一含氧硅图案482上,因此即使第一含氧硅图案482包括例如氧碳氮化硅,也可阻止碳从第一含氧硅图案482除气。此外,第二除气削减或阻止图案494可覆盖保留的第一鳍间隔件结构524的第二含氧硅图案484,从而可阻止碳从第二含氧硅图案484除气。
间隔件层结构520可形成在位于衬底400的第二区II上的第二有源鳍404上,从而可不通过SEG工艺形成任何源极/漏极层。
参照图51至图54,可执行与参照图44至图47描述的处理基本相同或相似的处理。
首先,可在位于衬底400的第一区I上的第一源极/漏极层542、隔离图案420、第一伪栅极结构、第一栅极间隔件结构522和第一鳍间隔件结构524上并且在位于衬底400的第二区II上的间隔件层结构520上形成生长削减或阻止层结构570。
在示例实施例中,生长削减或阻止层结构570可包括堆叠(例如,顺序地堆叠)的生长削减或阻止层550和第二补偿层560。
生长削减或阻止层550可由例如氮化硅形成,并且第二补偿层560可由例如二氧化硅形成。
第二光致抗蚀剂图案20可形成为覆盖衬底400的第一区I,并且可执行与参照图12至图14描述的处理基本相同或相似的处理来各向异性地刻蚀在衬底400的第二区II上堆叠(例如,顺序地堆叠)的间隔件层结构520和生长削减或阻止层结构570。
因此,可在位于衬底400的第二区II上的第二伪栅极结构在第一方向上相对的侧壁的每一个或至少一个上形成堆叠(例如,顺序地堆叠)的第二栅极间隔件结构526和第一生长削减或阻止图案结构576,并且可在位于衬底400的第二区II上的第二上部有源图案404a在第二方向上相对的侧壁的每一个或至少一个上形成堆叠(例如,顺序地堆叠)的第二鳍间隔件结构528和第二生长削减或阻止图案结构578。
第二栅极间隔件结构526可包括堆叠(例如,顺序地堆叠)的第三扩散削减或阻止图案466、第三湿法刻蚀停止图案476、第三含氧硅图案486和第三除气削减或阻止图案496,并且第二鳍间隔件结构528可包括堆叠(例如,顺序地堆叠)的第四扩散削减或阻止图案468、第四湿法刻蚀停止图案478、第四含氧硅图案488和第四除气削减或阻止图案498。此外,第一生长削减或阻止图案结构576可包括堆叠(例如,顺序地堆叠)的第一生长削减或阻止图案556和第三补偿图案566,并且第二生长削减或阻止图案结构578可包括堆叠(例如,顺序地堆叠)的第二生长削减或阻止图案558和第四补偿图案568。
生长削减或阻止层结构570的位于衬底400的第一区I上的部分可保留。
参照图55至图58,可执行与参照图48至图50描述的处理基本相同或相似的处理。
首先,在去除第二光致抗蚀剂图案20之后,可利用第二伪栅极结构以及位于第二伪栅极结构的侧壁上的第二栅极间隔件结构526和第一生长削减或阻止图案结构576作为刻蚀掩模对第二有源鳍404的上部进行刻蚀,以形成第四凹进(未示出)。可去除包括在干法刻蚀处理中可容易去除的二氧化硅的第三补偿图案566,然而,可不去除而是保留包括在干法刻蚀处理中不可容易去除的氮化硅的第一生长削减或阻止图案556。因此,可在第二伪栅极结构的侧壁上形成包括堆叠(例如,顺序地堆叠)的第二栅极间隔件结构526和第一生长削减或阻止图案556的第三栅极间隔件结构586。
当形成第四凹进时,可大部分去除临近第二有源鳍404的第二鳍间隔件结构528和第二生长削减或阻止图案578,并且可保留第二鳍间隔件结构528的仅仅一部分。在示例实施例中,保留的第二鳍间隔件结构528的顶表面的高度可等于或低于第四凹进下的第二有源鳍404的高度。
在用于形成第四凹进的干法刻蚀处理期间,可去除包括二氧化硅的第二补偿层560,并且生长削减或阻挡层550可保留在衬底400的第一区I上。
可利用通过第四凹进暴露的第二有源鳍404的上表面作为种子来形成第二源极/漏极层544。
在示例实施例中,可通过提供硅源气体、碳源气体、n型杂质源气体、刻蚀气体和运载气体来执行SEG工艺,从而可形成掺有n型杂质的单晶硅的碳化物层作为第二源极/漏极层544。可替换地,可通过提供硅源气体、n型杂质源气体、刻蚀气体和运载气体来执行SEG工艺,从而可形成掺有n型杂质的单晶硅层作为第二源极/漏极层544。第二源极/漏极层544可用作NMOS晶体管的源极/漏极区。
在SEG工艺期间,第三除气削减或阻止图案496可形成在第二栅极间隔件结构526的第三含氧硅图案486上,因此即使第三含氧硅图案486包括例如氧碳氮化硅,也可阻止碳从第三含氧硅图案486除气。此外,第四除气削减或阻止图案498可覆盖保留的第二鳍间隔件结构528的第四含氧硅图案488,从而可阻止碳从第四含氧硅图案488除气。
生长削减或阻止层550可形成在位于衬底400的第一区I上的第一有源鳍402上,从而可不通过SEG工艺形成任何源极/漏极层。
参照图59至图62,可执行与参照图22至图27描述的处理基本相同或相似的处理。
首先,可在衬底400和隔离图案420上形成绝缘层620来将第二伪栅极结构、第二栅极间隔件结构526、第二鳍间隔件结构528和第二源极/漏极层544覆盖到足够的高度,并且可将绝缘层620平面化,直到可暴露出第一伪栅极结构和第二伪栅极结构各自的第一伪栅电极442和第二伪栅电极444的上表面。
在平面化处理中,可去除第一伪栅极掩模452和第二伪栅极掩模454。
绝缘层620可不填充融合的第一源极/漏极层542与隔离图案420之间的空间以及融合的第二源极/漏极层544与隔离图案420之间的空间,从而可分别形成第一气隙622和第二气隙624。
可去除暴露的第一伪栅电极442和第二伪栅电极444以及在第一伪栅电极442和第二伪栅电极444下方的第一伪栅极绝缘图案432和第二伪栅极绝缘图案434,以形成暴露第一栅极间隔件结构522的内侧壁和第一有源鳍402的上表面的第一开口632,并且形成暴露第二栅极间隔件结构524的内侧壁和第二有源鳍404的上表面的第二开口634。
可通过干法刻蚀工艺和湿法刻蚀工艺去除第一伪栅电极442和第二伪栅电极444以及在第一伪栅电极442和第二伪栅电极444下方的第一伪栅极绝缘图案432和第二伪栅极绝缘图案434,并且可至少部分地去除第一扩散削减或阻止图案462和第三扩散削减或阻止图案466,以分别暴露出第一湿法刻蚀停止图案472和第三湿法刻蚀停止图案476。然而,第一湿法刻蚀停止图案472和第三湿法刻蚀停止图案476可不容易在湿法刻蚀工艺中去除,从而可被保留。因此,可不破坏第一栅极间隔件结构522和第二栅极间隔件结构524。
可大部分去除第一扩散削减或阻止图案462和第三扩散削减或阻止图案466的位于第一湿法刻蚀停止图案472和第三湿法刻蚀停止图案476各自的侧壁上的部分。然而,第一扩散削减或阻止图案462和第三扩散削减或阻止图案466的位于第一有源鳍402和第二有源鳍404各自的上表面上的部分可不被完全地去除而是至少部分地保留。因此,分别邻近第一有源鳍402和第二有源鳍404的第一源极/漏极层542和第二源极/漏极层544可不通过第一开口632和第二开口634分别暴露。
参照图63至图66,可执行与参照图28至图30描述的处理基本相同或相似的处理,以分别在第一开口632和第二开口634中形成第一栅极结构682和第二栅极结构684。
第一栅极结构682可包括堆叠(例如,顺序地堆叠)的第一界面图案642、第一栅极绝缘图案652、第一功函数控制图案662和第一栅电极672,并且第一栅极结构682和第一源极/漏极层结构542一起可形成PMOS晶体管。第二栅极结构684可包括堆叠(例如,顺序地堆叠)的第二界面图案644、第二栅极绝缘图案654、第二功函数控制图案664和第二栅电极674,并且第二栅极结构684和第二源极/漏极层结构544一起可形成NMOS晶体管。
到目前为止,在衬底400的第一区I上形成PMOS晶体管之后,在衬底400的第二区II上形成NMOS晶体管,然而,本发明构思可不限于此。也就是说,在衬底400的第一区I上形成NMOS晶体管之后,可在衬底400的第二区II上形成PMOS晶体管。
可在第一栅极结构682的在第一方向上相对的侧壁中的每一个或至少一个上形成包括堆叠(例如,顺序地堆叠)的第一扩散削减或阻止图案462、第一湿法刻蚀停止图案472、第一含氧硅图案482和第一除气削减或阻止图案492的第一栅极间隔件结构522,并且可在第一栅极间隔件结构522的侧壁和第一源极/漏极层542上形成生长削减或阻止层550。在示例实施例中,邻近第一栅极结构682的生长削减或阻止层550的至少一部分可具有沿着第一方向截取的其形状可与“L”相似或相同的截面。
可形成第三栅极间隔件结构586,其具有位于第二栅极结构684的在第一方向上相对的侧壁中的每一个或至少一个上的包括堆叠(例如,顺序地堆叠)的第三扩散削减或阻止图案466、第三湿法刻蚀停止图案476、第三含氧硅图案486和第三除气削减或阻止图案496的第二栅极间隔件结构526,以及位于第二栅极间隔件结构526上的第一生长削减或阻止图案556。在示例实施例中,第二栅极间隔件结构526可具有沿着第一方向截取的其形状可与“L”相似或相同的截面,并且第一生长或阻止图案556的内侧壁和底部可被第二栅极间隔件结构526覆盖。
参照图67至图71,可执行与参照图31至图38描述的处理基本相同或相似的处理来完成半导体器件。
因此,可在绝缘层620、第一栅极结构682和第二栅极结构684、生长削减或阻止层550以及第一栅极间隔件结构522和第三栅极间隔件结构586上形成(例如,顺序地形成)覆盖层690和绝缘间层700,并且可形成穿过绝缘层620、覆盖层690和绝缘间层700的第一接触孔和第二接触孔(未示出),以分别暴露出第一源极/漏极层结构542和第二源极/漏极层结构544的上表面。
第一接触孔和第二接触孔可以分别与第一栅极间隔件结构522和第三栅极间隔件结构586自对齐,或者可以不自对齐。
在第一源极/漏极层结构542和第二源极/漏极层结构544的暴露的上表面、第一接触孔和第二接触孔的侧壁以及绝缘间层700的上表面上形成第一金属层之后,可在其上执行热处理工艺以分别在第一源极/漏极层结构542和第二源极/漏极层结构544上形成第一金属硅化物图案712和第二金属硅化物图案714。可去除第一金属层的未反应部分。
可在第一金属硅化物图案712和第二金属硅化物图案714的上表面、第一接触孔和第二接触孔的侧壁以及绝缘间层700的上表面上形成阻挡层,可在阻挡层上形成第二金属层以填充第一接触孔和第二接触孔,并且可对第二金属层和阻挡层进行平面化直到可暴露绝缘间层700的上表面。因此,可在第一金属硅化物图案712和第二金属硅化物图案714上分别形成第一接触插塞742和第二接触插塞744。
第一接触插塞742可包括第一金属图案732和覆盖第一金属图案722的底部和侧壁的第一阻挡图案722,第二接触插塞744可包括第二金属图案734和覆盖第二金属图案734的底部和侧壁的第二阻挡图案724。
还可形成与第一接触插塞742和第二接触插塞744电连接的走线(未示出)和过孔(未示出)。
制造半导体器件的上述方法可应用于制造包括位于栅极结构侧壁上的间隔件的各种类型的半导体器件的方法。例如,所述方法可应用于制造诸如中央处理单元(CPU)、主处理单元(MPU)或应用处理器(AP)等的逻辑器件的方法。此外,所述方法可应用于制造诸如DRAM装置或SRAM装置的易失性存储器装置或者诸如闪速存储器装置、PRAM装置、MRAM装置或RRAM装置等非易失性存储器装置的方法。
以上是示例实施例的示意,而不应理解为限制示例实施例。虽然已经描述了一些实施例,但是本领域技术人员应该易于理解,在本质上不脱离本发明构思的新颖性指教和优点的情况下,可对示例实施例做出许多修改。因此,所有这些修改旨在被包括在权利要求定义的本发明构思的范围内。在权利要求中,装置加功能条款旨在覆盖本文描述的执行所述功能的结构以及结构等同物还有等同结构。因此,应该理解,以上是各个示例实施例的示意,而不应理解为限于所公开的特定示例实施例,并且对所公开的示例实施例的修改以及其它示例实施例旨在被包括在所附权利要求的范围内。

Claims (25)

1.一种半导体器件,包括:
衬底上的有源鳍;
有源鳍上的栅极结构;
栅极结构的侧壁上的栅极间隔件结构,所述栅极间隔件结构包括顺序地堆叠的湿法刻蚀停止图案、含氧硅图案和除气阻止图案;以及
在有源鳍邻近栅极间隔件结构的至少一部分上的源极/漏极层。
2.根据权利要求1所述的半导体器件,其中,湿法刻蚀停止图案和除气阻止图案分别包括碳氮化硅和氮化硅。
3.根据权利要求1所述的半导体器件,其中,含氧硅图案包括氧碳氮化硅、二氧化硅和/或氮氧化硅。
4.根据权利要求3所述的半导体器件,其中,含氧硅图案包括氧碳氮化硅,并且其中,除气阻止图案阻止含氧硅图案的成分除气。
5.根据权利要求1所述的半导体器件,还包括湿法刻蚀停止图案上的扩散阻止图案,所述扩散阻止图案阻止湿法刻蚀停止图案的成分扩散到有源鳍中。
6.根据权利要求1所述的半导体器件,其中,湿法刻蚀停止图案和含氧硅图案中的至少一个具有沿一个方向截取的截面,该截面具有类L形状。
7.根据权利要求1所述的半导体器件,其中,湿法刻蚀停止图案直接接触栅极结构的侧壁。
8.根据权利要求1所述的半导体器件,其中,源极/漏极层包括硅-锗、硅的碳化物或硅。
9.根据权利要求1所述的半导体器件,其中,有源鳍在与衬底的上表面实质上平行的第一方向上延伸,栅极结构在与第一方向交叉的第二方向上延伸,并且栅极间隔件结构形成在栅极结构的在第一方向上相对的侧壁中的至少一个上。
10.根据权利要求1所述的半导体器件,其中,除气阻止图案阻止含氧硅图案的成分除气。
11.一种半导体器件,包括:
分别在衬底的第一区和第二区上的第一有源鳍和第二有源鳍;
分别在第一有源鳍和第二有源鳍上的第一栅极结构和第二栅极结构;
第一栅极结构的侧壁上的第一栅极间隔件结构,所述第一栅极间隔件结构包括顺序地堆叠的第一湿法刻蚀停止图案、第一含氧硅图案和第一除气阻止图案;
第二栅极结构的侧壁上的第二栅极间隔件结构,所述第二栅极间隔件结构包括顺序地堆叠的第二湿法刻蚀停止图案、第二含氧硅图案和第二除气阻止图案;
在第一有源鳍邻近第一栅极间隔件结构的至少一部分上的第一源极/漏极层;以及
在第二有源鳍邻近第二栅极间隔件结构的至少一部分上的第二源极/漏极层。
12.根据权利要求11所述的半导体器件,其中,第一源极/漏极层包括硅-锗,并且第二源极/漏极层包括硅的碳化物或硅。
13.根据权利要求11所述的半导体器件,其中,第一湿法刻蚀停止图案和第二湿法刻蚀停止图案包括碳氮化硅,并且第一除气阻止图案和第二除气阻止图案包括氮化硅。
14.根据权利要求11所述的半导体器件,其中,第一含氧硅图案和第二含氧硅图案包括氧碳氮化硅、二氧化硅和/或氮氧化硅。
15.根据权利要求11所述的半导体器件,还包括:
分别在第一湿法刻蚀停止图案和第二湿法刻蚀停止图案上的第一扩散阻止图案和第二扩散阻止图案,
第一扩散阻止图案和第二扩散阻止图案构造为分别阻止第一湿法刻蚀停止图案和第二湿法刻蚀停止图案各自的成分扩散到第一有源鳍和第二有源鳍中。
16.一种制造半导体器件的方法,所述方法包括步骤:
在衬底上形成隔离图案以在衬底上限定有源鳍;
在有源鳍上形成伪栅极结构;
在伪栅极结构的侧壁上形成栅极间隔件结构,所述栅极间隔件结构包括顺序地堆叠的湿法刻蚀停止图案、含氧硅图案和除气阻止图案;
利用伪栅极结构和栅极间隔件结构作为刻蚀掩模去除有源鳍的上部,以在有源鳍上形成凹进;
执行选择性外延生长工艺以在凹进中形成源极/漏极层;以及
用栅极结构置换伪栅极结构。
17.根据权利要求16所述的方法,其中,在伪栅极结构的侧壁上形成栅极间隔件结构的步骤包括:
在衬底上形成间隔件层结构以覆盖伪栅极结构,所述间隔件层结构包括顺序地堆叠的湿法刻蚀停止层、含氧硅层和除气阻止层;以及
各向异性地刻蚀间隔件层结构以形成栅极间隔件结构。
18.根据权利要求17所述的方法,其中,间隔件层结构还包括湿法刻蚀停止层下方的扩散阻止层,
并且其中,当各向异性地刻蚀间隔件层结构时,将扩散阻止层转化为扩散阻止图案。
19.根据权利要求18所述的方法,其中,湿法刻蚀停止层包括碳氮化硅,
并且其中,扩散阻止层阻止湿法刻蚀停止层的成分扩散到有源鳍中。
20.根据权利要求18所述的方法,其中,扩散阻止层包括氮化硅。
21.一种半导体结构,包括:
衬底上的至少一个有源鳍;
所述至少一个有源鳍上的栅极结构;
栅极结构的侧壁上的栅极间隔件结构,所述栅极间隔件结构构造为减少碳的除气;以及
在所述至少一个有源鳍邻近栅极间隔件结构的至少一部分上的源极/漏极层。
22.根据权利要求21所述的半导体结构,其中,栅极间隔件结构为多层结构,其至少包括堆叠构造的湿法刻蚀停止层、含氧硅图案和除气阻止图案。
23.根据权利要求22所述的半导体结构,其中,含氧硅图案包括氧碳氮化硅层、二氧化硅层和氮氧化硅层中的至少一个。
24.根据权利要求22所述的半导体结构,其中,湿法刻蚀停止图案和除气阻止图案分别至少包括碳氮化硅层和至少包括氮化硅层。
25.根据权利要求21所述的半导体结构,其中,所述至少一个有源鳍包括:
衬底的第一区上的第一有源鳍;以及
衬底的第二区上的第二有源鳍。
CN201710017588.5A 2016-01-11 2017-01-11 半导体器件及其制造方法 Active CN107039436B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
KR10-2016-0003213 2016-01-11
KR1020160003213A KR102526580B1 (ko) 2016-01-11 2016-01-11 반도체 장치 및 그 제조 방법

Publications (2)

Publication Number Publication Date
CN107039436A true CN107039436A (zh) 2017-08-11
CN107039436B CN107039436B (zh) 2022-01-04

Family

ID=59275111

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201710017588.5A Active CN107039436B (zh) 2016-01-11 2017-01-11 半导体器件及其制造方法

Country Status (3)

Country Link
US (2) US10026736B2 (zh)
KR (1) KR102526580B1 (zh)
CN (1) CN107039436B (zh)

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN109273408A (zh) * 2017-07-18 2019-01-25 格芯公司 用于在后期制造阶段中图案化晶体管元件的有源区的技术
CN110021526A (zh) * 2017-11-28 2019-07-16 三星电子株式会社 刻蚀方法以及利用该刻蚀方法制造半导体器件的方法
CN110277453A (zh) * 2018-03-14 2019-09-24 三星电子株式会社 半导体装置和形成半导体装置的方法
CN110739304A (zh) * 2018-07-20 2020-01-31 三星电子株式会社 半导体装置及其制造方法

Families Citing this family (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9391200B2 (en) * 2014-06-18 2016-07-12 Stmicroelectronics, Inc. FinFETs having strained channels, and methods of fabricating finFETs having strained channels
US10050147B2 (en) 2015-07-24 2018-08-14 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof
US10510851B2 (en) * 2016-11-29 2019-12-17 Taiwan Semiconductor Manufacturing Company, Ltd. Low resistance contact method and structure
US10516037B2 (en) * 2017-06-30 2019-12-24 Taiwan Semiconductor Manufacturing Co., Ltd. Method of forming shaped source/drain epitaxial layers of a semiconductor device
KR102432467B1 (ko) 2017-08-30 2022-08-12 삼성전자주식회사 반도체 장치 및 이의 제조 방법
US10483372B2 (en) 2017-09-29 2019-11-19 Taiwan Semiconductor Manufacturing Co., Ltd. Spacer structure with high plasma resistance for semiconductor devices
US10867860B2 (en) * 2018-08-30 2020-12-15 Taiwan Semiconductor Manufacturing Company, Ltd. Methods of forming FinFET device
KR20200120816A (ko) * 2019-04-12 2020-10-22 삼성전자주식회사 반도체 소자 및 그 제조방법
KR20230065445A (ko) * 2021-11-05 2023-05-12 삼성전자주식회사 반도체 장치

Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN102214579A (zh) * 2010-04-08 2011-10-12 台湾积体电路制造股份有限公司 半导体元件的制作方法及半导体元件
CN103187439A (zh) * 2011-12-29 2013-07-03 中芯国际集成电路制造(上海)有限公司 半导体结构及其形成方法、cmos及其形成方法
US8524592B1 (en) * 2012-08-13 2013-09-03 Globalfoundries Inc. Methods of forming semiconductor devices with self-aligned contacts and low-k spacers and the resulting devices
US20140369115A1 (en) * 2013-06-13 2014-12-18 Samsung Electronics Co., Ltd. Semiconductor device, method for fabricating the same, and memory system including the semiconductor device
CN104576400A (zh) * 2015-01-21 2015-04-29 上海集成电路研发中心有限公司 一种鳍式场效晶体管的工艺整合方法
CN104835743A (zh) * 2014-02-10 2015-08-12 台湾积体电路制造股份有限公司 半导体器件和制造半导体器件的方法
US20150364579A1 (en) * 2014-06-12 2015-12-17 Taiwan Semiconductor Manufacturing Co., Ltd Structure and formation method of fin-like field effect transistor

Family Cites Families (15)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7399690B2 (en) 2005-11-08 2008-07-15 Infineon Technologies Ag Methods of fabricating semiconductor devices and structures thereof
US7897501B2 (en) 2007-04-25 2011-03-01 Taiwan Semiconductor Manufacturing Co., Ltd. Method of fabricating a field-effect transistor having robust sidewall spacers
US7652336B2 (en) 2007-08-06 2010-01-26 International Business Machines Corporation Semiconductor devices and methods of manufacture thereof
US7855110B2 (en) 2008-07-08 2010-12-21 International Business Machines Corporation Field effect transistor and method of fabricating same
US8143131B2 (en) 2009-03-31 2012-03-27 Taiwan Semiconductor Manufacturing Company, Ltd. Method of fabricating spacers in a strained semiconductor device
US8183640B2 (en) 2009-07-14 2012-05-22 United Microelectronics Corp. Method of fabricating transistors and a transistor structure for improving short channel effect and drain induced barrier lowering
US8816409B2 (en) 2010-07-15 2014-08-26 United Microelectronics Corp. Metal-oxide semiconductor transistor
KR101833849B1 (ko) 2010-10-13 2018-03-05 삼성전자주식회사 반도체 소자 및 그 제조 방법
KR101739105B1 (ko) 2010-12-03 2017-05-23 삼성전자주식회사 반도체 소자의 형성방법
KR20140021080A (ko) * 2012-07-16 2014-02-20 삼성전자주식회사 반도체 장치 및 그 제조 방법
US20140248749A1 (en) 2013-03-04 2014-09-04 Globalfoundries Inc. Stress memorization technique
US20150228546A1 (en) 2014-02-11 2015-08-13 United Microelectronics Corp. Semiconductor device and method of removing spacers on semiconductor device
TWI620234B (zh) * 2014-07-08 2018-04-01 聯華電子股份有限公司 一種製作半導體元件的方法
US9418897B1 (en) * 2015-06-15 2016-08-16 Taiwan Semiconductor Manufacturing Company, Ltd. Wrap around silicide for FinFETs
US9385124B1 (en) * 2015-09-04 2016-07-05 Globalfoundries Inc. Methods of forming reduced thickness spacers in CMOS based integrated circuit products

Patent Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN102214579A (zh) * 2010-04-08 2011-10-12 台湾积体电路制造股份有限公司 半导体元件的制作方法及半导体元件
CN103187439A (zh) * 2011-12-29 2013-07-03 中芯国际集成电路制造(上海)有限公司 半导体结构及其形成方法、cmos及其形成方法
US8524592B1 (en) * 2012-08-13 2013-09-03 Globalfoundries Inc. Methods of forming semiconductor devices with self-aligned contacts and low-k spacers and the resulting devices
US20140369115A1 (en) * 2013-06-13 2014-12-18 Samsung Electronics Co., Ltd. Semiconductor device, method for fabricating the same, and memory system including the semiconductor device
CN104835743A (zh) * 2014-02-10 2015-08-12 台湾积体电路制造股份有限公司 半导体器件和制造半导体器件的方法
US20150364579A1 (en) * 2014-06-12 2015-12-17 Taiwan Semiconductor Manufacturing Co., Ltd Structure and formation method of fin-like field effect transistor
CN104576400A (zh) * 2015-01-21 2015-04-29 上海集成电路研发中心有限公司 一种鳍式场效晶体管的工艺整合方法

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN109273408A (zh) * 2017-07-18 2019-01-25 格芯公司 用于在后期制造阶段中图案化晶体管元件的有源区的技术
CN110021526A (zh) * 2017-11-28 2019-07-16 三星电子株式会社 刻蚀方法以及利用该刻蚀方法制造半导体器件的方法
CN110277453A (zh) * 2018-03-14 2019-09-24 三星电子株式会社 半导体装置和形成半导体装置的方法
CN110739304A (zh) * 2018-07-20 2020-01-31 三星电子株式会社 半导体装置及其制造方法

Also Published As

Publication number Publication date
US10685957B2 (en) 2020-06-16
KR20170083838A (ko) 2017-07-19
KR102526580B1 (ko) 2023-04-27
CN107039436B (zh) 2022-01-04
US20170200718A1 (en) 2017-07-13
US10026736B2 (en) 2018-07-17
US20180301452A1 (en) 2018-10-18

Similar Documents

Publication Publication Date Title
CN107039436A (zh) 半导体器件及其制造方法
US11158744B2 (en) Fin field effect transistor (FinFET) device and method for forming the same
US10622459B2 (en) Vertical transistor fabrication and devices
US10381438B2 (en) Vertically stacked NFETS and PFETS with gate-all-around structure
TWI682511B (zh) 半導體裝置
US11948999B2 (en) Semiconductor device
US20170317213A1 (en) Semiconductor devices
US10818791B2 (en) Nanosheet transistor with stable structure
KR102502885B1 (ko) 반도체 장치 및 그 제조 방법
US9640552B2 (en) Multi-height fin field effect transistors
KR20150089597A (ko) 반도체 장치 및 그 제조 방법
US10535773B2 (en) FinFET with sigma recessed source/drain and un-doped buffer layer epitaxy for uniform junction formation
US20230377979A1 (en) Embedded stressors in epitaxy source/drain regions
US20230377988A1 (en) Different Source/Drain Profiles for N-type FinFETs and P-type FinFETs
US12029025B2 (en) Semiconductor device structure
US20230378336A1 (en) Semiconductor device

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant