CN106125822A - A kind of reduce the method for skewed clock on long clock cabling - Google Patents

A kind of reduce the method for skewed clock on long clock cabling Download PDF

Info

Publication number
CN106125822A
CN106125822A CN201610459747.2A CN201610459747A CN106125822A CN 106125822 A CN106125822 A CN 106125822A CN 201610459747 A CN201610459747 A CN 201610459747A CN 106125822 A CN106125822 A CN 106125822A
Authority
CN
China
Prior art keywords
clock
cabling
phase
long
buffer
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CN201610459747.2A
Other languages
Chinese (zh)
Other versions
CN106125822B (en
Inventor
谢长生
于宗光
单悦尔
张艳飞
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Wuxi Zhongwei Yixin Co Ltd
Original Assignee
CETC 58 Research Institute
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by CETC 58 Research Institute filed Critical CETC 58 Research Institute
Priority to CN201610459747.2A priority Critical patent/CN106125822B/en
Publication of CN106125822A publication Critical patent/CN106125822A/en
Application granted granted Critical
Publication of CN106125822B publication Critical patent/CN106125822B/en
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F1/00Details not covered by groups G06F3/00 - G06F13/00 and G06F21/00
    • G06F1/04Generating or distributing clock signals or signals derived directly therefrom
    • G06F1/10Distribution of clock signals, e.g. skew

Landscapes

  • Engineering & Computer Science (AREA)
  • Theoretical Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • General Engineering & Computer Science (AREA)
  • General Physics & Mathematics (AREA)
  • Manipulation Of Pulses (AREA)

Abstract

The present invention relates to a kind of reduce the method for skewed clock on long clock cabling, the method is first to increase a consistent looped back clock cabling symmetrical with long clock cabling, then phase interpolation clock buffer is increased at each clock output on long clock cabling and looped back clock cabling, generation phase place is positioned at the clock in two-way clock phase centre position and exports, thus realizes having approximately uniform clock phase at clock load.The present invention uses clock phase interpolation to greatly reduce the skewed clock on long clock cabling, and its static phase error is only decided by realize the mismatch between the process deviation of device parameters in circuit and circuit.

Description

A kind of reduce the method for skewed clock on long clock cabling
Technical field
The invention belongs to technical field of integrated circuits, be the side of skewed clock (Skew) on the long clock cabling of a kind of reduction Method, the situation that skewed clock is bigger on long clock cabling.
Background technology
Continuous increase along with footprint, it is achieved algorithm and the continuous enhancing of function, chip operation clock frequency Improve constantly, the requirement to the clock system in chip is more and more higher, and the management of multi-clock zone, clock delay, clock are inclined Tiltedly, clock jitter etc. will become the key factor affecting chip design.The deflection (Skew) of clock is a weight of clock system Wanting index, ideally clock arrived at clock load in the identical time.If skewed clock is relatively big, each logic can be caused The clock of Energy Resources Service has bigger difference, and the satisfied of setup/hold timing requirements of sequence circuit is caused difficulty, also can enter one Step affects the raising of system work clock.
The clock trees structure of clock system includes H tree (H-tree) type, fishbone (spine) type etc., is characterized in clock It is divided into many levels, reduces the Skew of clock by the coupling of clock track lengths.Sometimes, such as in fish bone well clock trees structure In, the cabling specific to the fishbone of a certain layer is the longest, and this layer of fishbone cabling clock everywhere has bigger Skew, a kind of routine Processing method, it is simply that mate fishbone cabling difference everywhere with extra cabling everywhere at fishbone.This is a kind of solution, But when fishbone cabling is the longest, it may be desirable to substantial amounts of coupling clock cabling, causes the difficulty that clock trees connects up, be unfavorable for clock system The comprehensive realization of system.
Summary of the invention
The technical problem to be solved in the present invention is to overcome existing defect, it is provided that a kind of employing clock phase interpolation reduces long The method of skewed clock on clock cabling, greatly reduces the clock Skew on the long line of clock.
In order to solve above-mentioned technical problem, the invention provides following technical scheme:
The present invention is a kind of reduces the method for skewed clock on long clock cabling, and the method is first to increase by one to walk with long clock The symmetrical consistent looped back clock cabling of line, then increases at each clock output on long clock cabling and looped back clock cabling Phase interpolation clock buffer, generation phase place is positioned at the clock in two-way clock phase centre position and exports, thus realizes existing There is at clock load approximately uniform clock phase.
Further, phase interpolation clock buffer is the clock buffer realizing phase interpolation function, this phase interpolation Clock buffer include shaping clock buffer, slewrate adjust circuit, phase interpolation circuit, differential comparator and difference- Single ended buffer, shaping clock buffer is for drawing at each clock output on long clock cabling and looped back clock cabling Two-pass DINSAR clock be shaped as rise/fall respectively along the time two-pass DINSAR clock signal consistent with signal amplitude; Slewrate adjusts circuit and diminishes to meeting wanting of phase interpolation circuit for the slewrate adjusting two-pass DINSAR clock signal Ask;When phase interpolation circuit is positioned at two-pass DINSAR for the two-pass DINSAR clock signal adjusting slew rate generates phase place The differential clock signal in clock signal phase centre position;Differential comparator is for reverting to difference after differential clock signal shaping Clock;Differential to single-ended buffer for being converted to single channel clock signal by differential clocks, then delivers at clock load.
Beneficial effects of the present invention:
1, long clock cabling is carried out loopback by the method, and each clock on long clock cabling and looped back clock cabling is defeated Source carries out phase-interpolation process, generates phase place and is positioned at the clock in two-way clock phase centre position, during the most each generation new Clock can keep approximately uniform phase place, greatly reduces the clock Skew on long clock cabling;Its static phase error (residue Skew deviation) only it is decided by realize the mismatch between the process deviation of device parameters in circuit and circuit.
2, the method is succinct, clear principle, it is simple to realize in fish bone well isochronon tree construction.
Accompanying drawing explanation
Fig. 1 is the way circuit theory diagram being preferable to carry out being reduced skewed clock by the present invention;
Fig. 2 is the theory diagram of the phase interpolation clock buffer of the present invention;
Fig. 3 is the theory diagram of phase interpolation circuit in Fig. 2;
Fig. 4 is the present invention sequential chart reducing skewed clock principle as a example by 120_0,121_0 and 120_N, 121_N.
Detailed description of the invention
Embodiment cited by the present invention, is only intended to help and understands the present invention, should not be construed as the present invention is protected model The restriction enclosed, for those skilled in the art, without departing from the inventive concept of the premise, it is also possible to right The present invention makes improvements and modifications, and these improve and modification also falls in the range of the claims in the present invention protection.
Fig. 1-4 for the present invention by clock phase interpolation reduce skewed clock (Skew) be embodied as circuit block diagram.
Fig. 1 show a long wire system of clock 100, wherein comprise differential clocks input source 101, difference long line clock delay Rush in device 102, loopback difference long line clock buffer 103, differential clocks cabling 111, loopback differential clocks cabling 112, phase place Interpolated clock buffer (CGBUF) 105 and clock load 106.Differential clocks input source 101 input difference clock, long by difference Line clock buffer 102 rear drive differential clocks cabling 111.When differential clocks cabling 111 end connects the long line of loopback difference Clock buffer 103, drives loopback differential clocks cabling 112.On differential clocks cabling 111, loopback differential clocks cabling 112 120_0,120_1,120_2 ... 120_N and 121_0,121_1,121_2 ... draw branch clock at 121_N respectively via phase place Interpolation clock buffer 105 delivers to clock load 106.The long line of above-mentioned differential clocks 111 and loopback differential clocks long line 112 are symmetrical Unanimously, for ensure corresponding clock waveform on difference (forward) clock cabling 111 and loopback difference (reversely) clock cabling 112 with Phase shift consistent, adds the loopback difference long line clock buffer that line clock buffer 102 long with difference is identical at loopback point 103。
Fig. 2 show the theory diagram of phase interpolation clock buffer (in Fig. 1 105), wherein comprises shaping clock buffer Device 201, slew rate adjust circuit 202, phase interpolation circuit (PI) 203, differential comparator 204 and differential to single-ended buffer 205.The two-pass DINSAR clock 141,142 and 143,144 drawn at 120_0,121_0 from Fig. 1 delays respectively through shaping clock Rush device 201 and be shaped as rise/fall along the time two-pass DINSAR clock signal 211 consistent with signal amplitude.Two-way after shaping Differential clock signal 211 deliver to respectively slew rate adjust circuit 202 adjust slew rate so that it is slew rate diminish to Meet the requirement of phase interpolation circuit 203.Adjusted the two-pass DINSAR clock signal 212 of slew rate through phase interpolation electricity Generate phase place behind road 203 and be positioned at the differential clock signal 213 in two-pass DINSAR clock signal 212 phase place centre position.The difference generated Point clock signal 213 is by reverting to differential clocks 214 after differential comparator 204 shaping, then by differential to single-ended buffer 205 clock signals 151 being converted to give in single channel clock signal, i.e. Fig. 1 clock load 106 (151_0,151_1,151_2 ... 151_N)。
Fig. 3 show the theory diagram of phase interpolation circuit (in PI, Fig. 2 203), wherein input signal VP1/N1, VP2/ Differential clock signal 212 in N2 corresponding diagram 2 respectively, differential clock signal 213 in output signal OUTP/N corresponding diagram 2.This phase Position interpolating circuit 203 can realize the generation that phase place is positioned at the differential clock signal in two-pass DINSAR clock signal phase centre position.
Assume in Fig. 1 to draw on differential clocks cabling 111 branch clock each between delay be Δ t1, i.e. in Fig. 1 Delay between 120_0 and 120_1,120_1 and 120_2 etc. is all Δ t1, then the delay between 120_1 and 120_N is N* Δt1.Also due to loopback differential clocks cabling 112 is symmetrical with differential clocks cabling 111 consistent, therefore loopback differential clocks is walked Delay between extraction branch clock is each on line 112 is also Δ t.Assume again that on differential clocks cabling 111 node 120_N and On loopback differential clocks cabling 112, the delay between node 121_N is Δ t2, then the delay between 120_0 and 121_0 is 2N*Δt1+Δt2
Fig. 4 show as a example by 120_0,121_0 and 120_N, 121_N introduce the present invention reduce clock skew principle time Sequence figure.As follows by the sequential of output 151_0 and 151_N after phase interpolation clock buffer 105 in Fig. 1: 120_0 and Delay between 151_0 is N* Δ t1+Δt2/2+tdly, tdlyIt it is the unit internal latency of phase interpolation clock buffer 105; Delay between 120_N and 151_N is Δ t2/2+tdly.Unified on the basis of 120_0, then 151_0 and 151_N relative to The delay of 120_0 is N* Δ t1+Δt2/2+tdly.In like manner understand, 151_0,151_1,151_2 ... 151_N is relative to 120_0 Delay equal.
As can be seen here, the present invention can be significantly reduced to the Skew of clock load, the most even can accomplish 0Skew。
The present invention proposes the method using clock phase interpolation to reduce clock Skew, first increases by one and walks with long clock The symmetrical consistent looped back clock cabling (long clock cabling carries out loopback) of line, every on long clock cabling and looped back clock cabling At individual clock output, the reverse clock of loopback of output on the forward clock that long clock cabling exports and looped back clock cabling is entered Line phase interpolation processing, i.e. adds phase interpolation clock buffer (CGBUF), then to the output clock on long clock cabling and On looped back clock cabling, the output clock of same position carries out slew rate adjustment, and a kind of phase interpolation circuit of recycling generates Phase place is positioned at the clock in two-way clock (forward clock and the reverse clock of loopback) phase place centre position and exports, thus realizes every The new clock of individual generation has approximately uniform clock phase at clock load, greatly reduces clock Skew.

Claims (2)

1. one kind is reduced the method for skewed clock on long clock cabling, it is characterised in that: described method is first to increase by one with long The symmetrical consistent looped back clock cabling of clock cabling, the then output of each clock on long clock cabling and looped back clock cabling Place increases phase interpolation clock buffer (105), and generation phase place is positioned at the clock in two-way clock phase centre position and exports, Thus realize, at clock load (106) place, there is approximately uniform clock phase.
The method of skewed clock on reduction the most according to claim 1 long clock cabling, it is characterised in that: in described phase place Interpolated clock buffer (105) is the clock buffer realizing phase interpolation function, and this phase interpolation clock buffer (105) includes Shaping clock buffer (201), slew rate adjust circuit (202), phase interpolation circuit (203), differential comparator (204) With differential to single-ended buffer (205), shaping clock buffer (201) is for by long clock cabling and looped back clock cabling The two-pass DINSAR clock (141,142 and 143,144) drawn at each clock output be shaped as respectively rise/fall along the time and The two-pass DINSAR clock signal (211) that signal amplitude is consistent;When slew rate adjustment circuit (202) is used for adjusting two-pass DINSAR The slew rate of clock signal (211) diminishes to the requirement meeting phase interpolation circuit (203);Phase interpolation circuit (203) is used for The two-pass DINSAR clock signal (212) adjusting slew rate is generated phase place be positioned in the middle of two-pass DINSAR clock signal phase The differential clock signal (213) of position;Differential comparator (204) is for poor by reverting to after differential clock signal (213) shaping Timesharing clock (214);Differential to single-ended buffer (205) is used for differential clocks (214) is converted to single channel clock signal (151), then Deliver to clock load (106) place.
CN201610459747.2A 2016-06-23 2016-06-23 A method of reducing skewed clock on long clock cabling Active CN106125822B (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
CN201610459747.2A CN106125822B (en) 2016-06-23 2016-06-23 A method of reducing skewed clock on long clock cabling

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
CN201610459747.2A CN106125822B (en) 2016-06-23 2016-06-23 A method of reducing skewed clock on long clock cabling

Publications (2)

Publication Number Publication Date
CN106125822A true CN106125822A (en) 2016-11-16
CN106125822B CN106125822B (en) 2019-02-15

Family

ID=57267887

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201610459747.2A Active CN106125822B (en) 2016-06-23 2016-06-23 A method of reducing skewed clock on long clock cabling

Country Status (1)

Country Link
CN (1) CN106125822B (en)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2022105253A1 (en) * 2020-11-23 2022-05-27 深圳比特微电子科技有限公司 Multi-bit register, chip and computing device

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN1773857A (en) * 2004-11-12 2006-05-17 国际商业机器公司 Method and apparatus for generating non-skewed complementary signals through interpolation
CN104184461A (en) * 2014-08-20 2014-12-03 上海交通大学 Fractional frequency divider
CN105553448A (en) * 2014-10-27 2016-05-04 三星电子株式会社 METHOD, SYSTEM AND DEVICE FOR ADJUSTING clock skew
US20160140280A1 (en) * 2014-11-17 2016-05-19 International Business Machines Corporation Reducing dynamic clock skew and/or slew in an electronic circuit

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN1773857A (en) * 2004-11-12 2006-05-17 国际商业机器公司 Method and apparatus for generating non-skewed complementary signals through interpolation
CN104184461A (en) * 2014-08-20 2014-12-03 上海交通大学 Fractional frequency divider
CN105553448A (en) * 2014-10-27 2016-05-04 三星电子株式会社 METHOD, SYSTEM AND DEVICE FOR ADJUSTING clock skew
US20160140280A1 (en) * 2014-11-17 2016-05-19 International Business Machines Corporation Reducing dynamic clock skew and/or slew in an electronic circuit

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2022105253A1 (en) * 2020-11-23 2022-05-27 深圳比特微电子科技有限公司 Multi-bit register, chip and computing device

Also Published As

Publication number Publication date
CN106125822B (en) 2019-02-15

Similar Documents

Publication Publication Date Title
TWI679846B (en) Serializing transmitter
Mahoney et al. Clock distribution on a dual-core, multi-threaded Itanium/sup/spl reg//-family processor
US8415980B2 (en) Serializing transmitter
US20110084736A1 (en) Architecture for controlling clock characteristics
KR100936445B1 (en) High speed serializing-deserializing system and method
US8705592B2 (en) Data transmission apparatus, data reception apparatus, and data transmission method
JP5704795B2 (en) Clock distribution system, distribution method, and integrated circuit using them
US9924466B1 (en) Dynamic flip-flop and multiplexer for sub-rate clock data serializer
US9083577B2 (en) Sampler circuit for a decision feedback equalizer and method of use thereof
KR20240045225A (en) Alleviation of duty cycle distortion caused by asymmetric aging
CN107210761B (en) Serializing transmitter
CN106125822A (en) A kind of reduce the method for skewed clock on long clock cabling
US10097168B2 (en) Current-mode clock distribution
US8466816B2 (en) Method and apparatus for serializing bits
CN105187342A (en) Three-tap decision feedback equalizer having low power dissipation and used for receiving end of high-speed serial interface
KR102624454B1 (en) Data serializer circuit
Zheng et al. A 5-50 Gb/s quarter rate transmitter with a 4-tap multiple-MUX based FFE in 65 nm CMOS
JP2011223366A (en) Data receiving circuit
JP2017513341A (en) Clock pulse generator for multiphase signaling
US8351489B2 (en) Two-phase return-to-zero asynchronous transceiver
US20140103972A1 (en) Duty cycle protection circuit
CN203872144U (en) Circuit of converting single-ended signal to differential signal and high-speed integrated circuit transmission system
Song et al. Low-Power 10-Gb/s Transmitter for High-Speed Graphic DRAMs Using 0.18-$\mu\hbox {m} $ CMOS Technology
Li et al. A 5 Gbps serial link pre-emphasis transmitter with a novel-designed register based multiplexer
JP5922280B1 (en) Series / parallel converter

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant
TR01 Transfer of patent right

Effective date of registration: 20201216

Address after: 2 / F, building B1, No. 777, Jianzhu West Road, Binhu District, Wuxi City, Jiangsu Province, 214000

Patentee after: WUXI ZHONGWEI YIXIN Co.,Ltd.

Address before: Hui Road Binhu District 214035 Jiangsu city of Wuxi province No. 5

Patentee before: The 58th Research Institute of China Electronics Technology Group Corp.

TR01 Transfer of patent right