CN105895519B - 用于在狭小空间各向同性蚀刻硅的无残留的系统和方法 - Google Patents

用于在狭小空间各向同性蚀刻硅的无残留的系统和方法 Download PDF

Info

Publication number
CN105895519B
CN105895519B CN201610087456.5A CN201610087456A CN105895519B CN 105895519 B CN105895519 B CN 105895519B CN 201610087456 A CN201610087456 A CN 201610087456A CN 105895519 B CN105895519 B CN 105895519B
Authority
CN
China
Prior art keywords
dummy structure
plasma
substrate
gas
dummy
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
CN201610087456.5A
Other languages
English (en)
Other versions
CN105895519A (zh
Inventor
郭明书
钟清华
海琳·戴尔·普波
加内什·乌帕德亚雅
高里·卡马尔提
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Lam Research Corp
Original Assignee
Lam Research Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Lam Research Corp filed Critical Lam Research Corp
Publication of CN105895519A publication Critical patent/CN105895519A/zh
Application granted granted Critical
Publication of CN105895519B publication Critical patent/CN105895519B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32135Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only
    • H01L21/32136Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas
    • H01L21/32137Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas of silicon-containing layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/321Radio frequency generated discharge the radio frequency energy being inductively coupled to the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32174Circuits specially adapted for controlling the RF discharge
    • H01J37/32183Matching circuits
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • H01J37/32449Gas control, e.g. control of the gas flow
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32715Workpiece holder
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02057Cleaning during device manufacture
    • H01L21/02068Cleaning during device manufacture during, before or after processing of conductive layers, e.g. polysilicon or amorphous silicon layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0337Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/32055Deposition of semiconductive layers, e.g. poly - or amorphous silicon layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67069Apparatus for fluid treatment for etching for drying etching

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Chemical & Material Sciences (AREA)
  • Plasma & Fusion (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Analytical Chemistry (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

本发明涉及用于在狭小空间各向同性蚀刻硅的无残留的系统和方法。用于蚀刻衬底的系统和方法包括在处理室中布置包括第一结构和虚设结构的衬底。所述第一结构由选自二氧化硅和氮化硅组成的组中的材料制成。所述虚设结构由硅制成。供给载气至所述处理室。供给三氟化氮和氢分子气体至所述处理室。在所述处理室激励等离子体。蚀刻所述虚设结构。

Description

用于在狭小空间各向同性蚀刻硅的无残留的系统和方法
技术领域
本发明涉及衬底处理系统,更具体地,涉及在衬底处理系统内各向同性地蚀刻硅的系统和方法。
背景技术
这里提供的背景描述是为了总体呈现本发明的背景的目的。在此背景部分中描述的程度上的当前指定的发明人的工作,以及在提交申请时可能无法以其他方式有资格作为现有技术的所述描述的各方面,既不明确也不暗示地承认是针对本发明的现有技术。
衬底处理系统可被用于在衬底(如半导体晶片)上沉积膜和蚀刻在衬底(如半导体晶片)上的膜。在处理期间,虚设(dummy)结构可以在某些处理步骤中使用,然后在随后的处理步骤期间去除。纯硅可用于虚设结构。仅举例而言,硅虚设结构可以用作用于高k金属栅极技术的虚设栅极,用于间隔件形成的心轴和用于后端线(BEOL)气隙的衬垫。
虚设硅结构必需在不损坏需要留在衬底上的其它结构的情况下去除。其结果是,用以去除硅虚设结构的蚀刻工艺相对于二氧化硅(SiO2)和/或氮化硅(Si3N4)应该有无穷大的选择比(infinite selectivity)。通常,湿法蚀刻因为其优良的选择性性能而被选择。但是,湿法蚀刻会留下残留物。随着特征缩放继续,在狭小的空间去除硅残留物正变得更具挑战性。
富氧分子(O2)的溴化氢(HBr)/O2等离子体或四氯化硅(SiCl4)-添加剂等离子体可用于在相对于SiO2和/或Si3N4需要无穷大的选择比时去除虚设硅。由于在衬底上过量的副产物(SiOBrx或SiOClx)沉积,因此通常在等离子体处理后需要稀释的氢氟酸(HF)酸浸以进行晶片蚀刻后清洁。然而,稀释的氢氟酸湿法清洁工艺往往导致对SiO2层的额外损坏。
发明内容
一种用于蚀刻衬底的方法包括在处理室中布置包括第一结构和虚设结构的衬底。所述第一结构由选自二氧化硅和氮化硅组成的组中的材料制成。所述虚设结构由硅制成。所述方法包括供给载气至所述处理室;供给三氟化氮和氢分子气体至所述处理室;在所述处理室中激励等离子体;以及蚀刻所述虚设结构。
在其它特征中,所述处理室是感应耦合等离子体处理室。所述虚设结构被所述材料覆盖。所述虚设结构没有被所述材料覆盖。以相对于所述材料呈无穷大的选择比蚀刻所述虚设结构。所述虚设结构被蚀刻,伴随有蚀刻副产物。
在其它特征中,所述方法包括用溶剂去除所述蚀刻副产物。所述溶剂包括水。所述溶剂包括硫酸和过氧化氢的混合物。所述虚设结构被各向同性地蚀刻。
一种用于蚀刻衬底的衬底处理系统包含处理室。衬底支撑件被布置在所述处理室中以支撑包括第一结构和虚设结构的衬底。所述第一结构由选自二氧化硅和氮化硅组成的组中的材料制成。所述虚设结构由硅制成。气体输送系统被配置成选择性地供给工艺气体和载气到所述处理室。等离子体产生器被配置为选择性地供给等离子体在所述处理室中。控制器被配置以选择性地控制所述气体输送系统和等离子体产生器。所述控制器还被配置为:供给载气到所述处理室;供给三氟化氮和氢分子气体到所述处理室;在所述处理室中激励等离子体;以及蚀刻所述虚设结构。
在其它特征中,所述处理室是感应耦合等离子体处理室。所述虚设结构被所述材料覆盖。所述虚设结构没有被所述材料覆盖。所述控制器被配置成以相对于所述材料呈无穷大的选择比蚀刻所述虚设结构。所述虚设结构被蚀刻,伴随有蚀刻副产物。所述虚设结构被各向同性地蚀刻。
根据详细描述、权利要求和附图,本发明的适用性的进一步的范围将变得显而易见。详细描述和具体实施例仅用于说明的目的,并非意在限制本公开的范围。
附图说明
根据详细描述和附图,本发明将被更充分地理解,其中:
图1是使用感应耦合等离子体(ICP)的衬底处理系统的一个示例的功能框图;
图2是包括硅虚设结构的衬底的透视图;
图3是图2的衬底的去除硅虚设结构后的透视图;以及
图4是示出用于去除硅虚设结构的方法的流程图。
在附图中,附图标记可以被重新使用以标识相似和/或相同的元件。
具体实施方式
本发明涉及各向同性的等离子体蚀刻工艺,其提供可控制的残留物和蚀刻产品,其具有无穷大的Si比氧化物以及Si比Si3N4的选择比。在一些实例中,各向同性等离子体蚀刻工艺用于去除在处理期间在衬底上使用的硅虚设结构。在一些实例中,衬底进一步包括SiO2或Si3N4结构。各向同性等离子体蚀刻工艺包括用于硅蚀刻的三氟化氮NF3/氢分子(H2)等离子体蚀刻工艺。在一些实例中,使用感应耦合等离子体(ICP)处理室。
仅举例而言,可以执行在硬掩模层下的或在未被覆盖的区域的纯硅的各向同性蚀刻。在这个示例中,各向同性蚀刻工艺具有相对于SiO2的无穷大的选择比,用光学仪器测定SiO2的损失为零。
当使用NF3/H2等离子体时,一些残留物可留在被覆盖的和未被覆盖的区域。在一些示例中,残留物可以通过水或硫酸和过氧化氢(H2SO4/H2O2(piranha溶液))被去除。通过这些残留物去除溶剂不会对SiO2或Si3N4造成额外的损坏。
尽管前述示例将在感应耦合等离子体处理(ICP)室的背景下描述,但所述蚀刻工艺可在其他类型的等离子体处理室进行,如在等离子体增强化学气相沉积(PECVD)处理室、远程等离子体CVD(RPCVD)处理室等中处理。在感应耦合等离子体(ICP)工艺中,能量由通过电磁感应(或时变磁场)所产生的电流供给。通常,一个或多个感应线圈被布置在邻近于电介质窗的等离子体处理室的外面,并且气体被供给到等离子体处理室的内部。RF功率被供给到感应线圈,并且在ICP处理室点燃等离子体。
尽管在下面将描述ICP处理室的具体实施例,但可以使用其它的ICP工艺。可以使用与高真空压兼容的任何ICP。除了ICP外,远程等离子体工艺也可用于NF3/H2各向同性蚀刻。
现在参考图1,根据本发明所述的衬底处理系统10的实施例被示出。衬底处理系统10包括连接到变压器耦合电容调谐(TCCT)电路14的RF源12,变压器耦合电容调谐(TCCT)电路14连接到TCP线圈16。
TCCT电路14通常包括一个或多个固定的或可变的电容器15。TCCT电路14的一个实施例在共同转让的Long等人的美国专利公开No.2013/0135058中示出和描述,其全体内容通过引入并入本发明。TCP线圈16可以包括成对的线圈或内线圈对和外线圈对。
气体增压室20可以被布置在TCP线圈16和电介质窗口24之间。电介质窗口24沿处理室28的一侧布置。处理室28还包括支撑衬底34的基座32。基座32可以包括静电卡盘、机械卡盘或其它类型的卡盘。等离子体40在处理室28内部产生。等离子体40蚀刻衬底34。RF源50和偏置匹配电路52可在操作期间用来给基座32施加偏置。
气体输送系统56可以用于将气体混合物供给到与电介质窗口24相邻的处理室28。气体输送系统56可以包括工艺气体源57、计量系统58(如阀和质量流量控制器)和歧管59。
气体输送系统60可以被用于通过阀61来提供气体62到气体增压室20。该气体可以包括被用于冷却TCP线圈16和电介质窗口24的制冷气体。加热器64可以用于将基座32加热到预定的温度。排放系统65包括阀66和泵67以通过吹扫或抽排从处理室28去除反应物。
控制器54可以用于控制蚀刻工艺。控制器54监测工艺参数,如温度、压力等,并控制:气体混合物的输送,激励、维持和熄灭等离子体,反应物的去除,制冷气体的供应等。
现在参考图2,衬底100包括硬掩模层120。仅举例而言,该硬掩模层120可以包括一个或多个二氧化硅(SiO2)或氮化硅(Si3N4)结构,但是也可以使用其它结构和/或材料。一个或多个结构,如部分124、128和132可以被布置在硬掩模层120下。在一些实施例中,部分124、128和132可以由二氧化硅(SiO2)或氮化硅(Si3N4)制成。硅虚设结构136和140也可以布置在部分124、128和132之间的被覆盖的区域中。在一些实施例中,虚设结构由纯硅制成。
硅虚设结构142和144也可以被布置在未被覆盖的区域。仅举例而言,在未被覆盖的区域的硅虚设结构可以包括用于高K金属栅极晶体管的虚设Si栅极和用于侧壁图像转印的心轴。在一些工艺中,硅虚设结构136、140、142和144需要从衬底100去除而不损坏衬底100的其他结构。另外,该去除应在不留下残留物的情况下来完成。在保留有残留物的情况下,残留物应能使用不会损坏保留结构的溶剂或其它技术去除。
现在参考图3,衬底100在ICP处理室中进行蚀刻后被示出。硅虚设结构136、140、142和144使用高选择性的蚀刻工艺去除而不留下蚀刻副产物,很少或没有残留物,如分别可在152、156、158和160可以看到的。
现在参考图4,示出了用于除去硅虚设结构的方法200。在210,将包括一个或多个硅虚设结构的衬底布置在处理室中。虚设结构可以被布置在被覆盖和/或未被覆盖的区域。在214,载气被供给到处理室中。在一些实施例中,氦(He)或氩(Ar)被用作载气,但也可使用其它合适的载气。
在218,包括NF3和H2的等离子体气体被供给到处理室,并且等离子体被点燃。在222,硅虚设结构被等离子体各向同性地蚀刻。在224,如果需要的话,可以使用溶剂去除副产物,而不损坏SiO2或Si3N4
在一个示例性工艺中,在ICP处理室中的真空气体压强被设定为400毫托(mT),但也可使用其它压强。感应耦合功率或源功率被设定为550W,但也可以使用其它的功率电平。氩气和氦气用作载气并且都以500sccm供给,但是也可以使用其它载气和/或流速。H2和NF3分别以70sccm和30sccm供给,但也可以使用其它的流速。基座偏置电压设定值被设定为0V,但是也可以使用其它偏置电压。基座的温度从内区至外区分别设置在70℃、70℃、70℃和70℃,但也可使用其他温度设置。该TCCT电路可以被设置为0.5,但也可使用其它TCCT电路设置。TCCT设置与在内线圈和外线圈之间的功率分配相互关联。该TCCT设置越高,朝着中心线圈正向输送的功率越多;该TCCT设置越低,朝着外线圈正向输送的功率越多。
在一些实施例中,真空压强>400mT,NF3/(NF3+H2)的比率<0.3,并且温度为>60℃。
前面的描述在本质上仅仅是说明性的,并且决不旨在限制本公开、本公开的应用或用途。本公开的广泛教导可以以各种形式来实现。由于其它的修改将根据对附图、说明书和权利要求书的研究变得显而易见,因此,虽然本公开包括特定示例,但本公开的真实范围不应当受此限制。如本文所使用的,短语A、B和C中的至少一个应该被解释为指使用非排他性的逻辑或(OR)的逻辑(A或B或C),不应该被解释为指“A中的至少一个,B中的至少一个,和C中的至少一个”。应当理解的是,在方法中的一个或多个步骤可以以不同的顺序(或同时)而不改变本公开的原理来执行。
在一些实现方式中,控制器是系统的一部分,该系统可以是上述实例的一部分。这种系统可以包括半导体处理设备,该半导体处理设备包括一个或多个处理工具、一个或多个处理室、用于处理的一个或多个平台和/或具体的处理组件(晶片基座、气流系统等)。这些系统可以与用于控制它们在处理半导体晶片或衬底之前、期间和之后的操作的电子器件一体化。电子器件可以称为“控制器”,该控制器可以控制一个或多个系统的各种元件或子部件。根据处理要求和/或系统的类型,控制器可以被编程以控制本文公开的任何工艺,包括控制处理气体输送、温度设置(例如,加热和/或冷却)、压强设置、真空设置、功率设置、射频(RF)产生器设置、RF匹配电路设置、频率设置、流速设置、流体输送设置、位置及操作设置、晶片转移进出工具和其他转移工具和/或与具体系统连接或通过接口连接的装载锁。
宽泛地讲,控制器可以定义为接收指令、发布指令、控制操作、启用清洁操作、启用端点测量等等的具有各种集成电路、逻辑、存储器和/或软件的电子器件。集成电路可以包括存储程序指令的固件形式的芯片、数字信号处理器(DSP)、定义为专用集成电路(ASIC)的芯片和/或一个或多个微处理器或执行程序指令(例如,软件)的微控制器。程序指令可以是以各种单独设置的形式(或程序文件)通信到控制器的指令,该设置定义用于在半导体晶片或系统上或针对半导体晶片或系统执行特定过程的操作参数。在一些实施方式中,操作参数可以是由工艺工程师定义的用于在制备晶片的一个或多个(种)层、材料、金属、氧化物、硅、二氧化硅、表面、电路和/或管芯期间完成一个或多个处理步骤的配方(recipe)的一部分。
在一些实现方式中,控制器可以是与系统集成、耦接或者说是通过网络连接系统或它们的组合的计算机的一部分或者与该计算机耦接。例如,控制器可以在“云端”或者是fab主机系统的全部或一部分,从而可以允许远程访问晶片处理。计算机可以启用对系统的远程访问以监测制造操作的当前进程,检查过去的制造操作的历史,检查多个制造操作的趋势或性能标准,改变当前处理的参数,设置处理步骤以跟随当前的处理或者开始新的工艺。在一些实例中,远程计算机(例如,服务器)可以通过网络给系统提供工艺配方,网络可以包括本地网络或互联网。远程计算机可以包括允许输入或编程参数和/或设置的用户界面,该参数和/或设置然后从远程计算机通信到系统。在一些实例中,控制器接收数据形式的指令,该指令指明在一个或多个操作期间将要执行的每个处理步骤的参数。应当理解,参数可以针对将要执行的工艺类型以及工具类型,控制器被配置成连接或控制该工具类型。因此,如上所述,控制器可以例如通过包括一个或多个分立的控制器而为分布式,这些分立的控制器通过网络连接在一起并且朝着共同的目标(例如,本文所述的工艺和控制)工作。用于这些目的的分布式控制器的实例可以是与结合以控制室内工艺的一个或多个远程集成电路(例如,在平台水平或作为远程计算机的一部分)通信的室上的一个或多个集成电路。
在非限制性的条件下,示例的系统可以包括等离子体蚀刻室或模块、沉积室或模块、旋转清洗室或模块、金属电镀室或模块、清洁室或模块、倒角边缘蚀刻室或模块、物理气相沉积(PVD)室或模块、化学气相沉积(CVD)室或模块、原子层沉积(ALD)室或模块、原子层蚀刻(ALE)室或模块、离子注入室或模块、轨道室或模块、以及在半导体晶片的制备和/或制造中可以关联上或使用的任何其他的半导体处理系统。
如上所述,根据工具将要执行的一个或多个工艺步骤,控制器可以与一个或多个其他的工具电路或模块、其他工具组件、组合工具、其他工具界面、相邻的工具、邻接工具、位于整个工厂中的工具、主机、另一个控制器、或者在将晶片的容器往来于半导体制造工厂中的工具位置和/或装载口搬运的材料搬运中使用的工具通信。

Claims (15)

1.一种用于蚀刻衬底的方法,其包括:
在处理室中布置包含第一结构和虚设结构的衬底,
其中,所述第一结构由选自二氧化硅和氮化硅组成的组中的材料制成,并且
其中,所述虚设结构由硅制成;
供给载气至所述处理室;
供给NF3和H2气体至所述处理室,其中所述H2以比所述NF3更大的流量供给;以及
在供给的NF3和H2气体存在的情况下在所述处理室中激励等离子体以执行NF3/H2等离子体蚀刻工艺以蚀刻所述虚设结构,
其中所述虚设结构被各向同性地蚀刻以相对于所述材料以无穷大的选择比蚀刻所述虚设结构,使得用仪器测定所述材料的损失为零。
2.根据权利要求1所述的方法,其中,所述处理室是感应耦合等离子体处理室。
3.根据权利要求1所述的方法,其中所述虚设结构被所述材料覆盖。
4.根据权利要求1所述的方法,其中所述虚设结构没被所述材料覆盖。
5.根据权利要求1所述的方法,其中所述虚设结构被蚀刻,伴随有蚀刻副产物。
6.根据权利要求5所述的方法,其还包括用溶剂去除所述蚀刻副产物。
7.根据权利要求6所述的方法,其中所述溶剂包括水。
8.根据权利要求6所述的方法,其中,所述溶剂包括硫酸和过氧化氢的混合物。
9.根据权利要求1所述的方法,其中NF3/(NF3+H2)的比率为小于或等于0.3。
10.一种用于蚀刻衬底的衬底处理系统,其包含:
处理室;
衬底支撑件,其被布置在所述处理室中以支撑包括第一结构和虚设结构的衬底,
其中,所述第一结构由选自二氧化硅和氮化硅组成的组中的材料制成,并且
其中,所述虚设结构由硅制成;
气体输送系统,其被配置成选择性地供给工艺气体和载气到所述处理室;
等离子体产生器,其被配置为选择性地供给等离子体在所述处理室中;和
控制器,其被配置以选择性地控制所述气体输送系统和所述等离子体产生器,其中,所述控制器还被配置为:
供给载气到所述处理室;
供给NF3和H2气体到所述处理室,其中所述H2以比所述NF3更大的流量供给;以及
在供给的NF3和H2气体存在的情况下在所述处理室中激励等离子体以执行NF3/H2等离子体蚀刻工艺以蚀刻所述虚设结构,
其中所述虚设结构被各向同性地蚀刻,其中该蚀刻具有相对于所述材料具有无穷大的选择比,使得用仪器测定所述材料的损失为零。
11.根据权利要求10所述的衬底处理系统,其中,所述处理室是感应耦合等离子体处理室。
12.根据权利要求10所述的衬底处理系统,其中,所述虚设结构被所述材料覆盖。
13.根据权利要求10所述的衬底处理系统,其中,所述虚设结构没有被所述材料覆盖。
14.根据权利要求10所述的衬底处理系统,其中,所述虚设结构被蚀刻,伴随有蚀刻副产物。
15.根据权利要求10所述的衬底处理系统,其中,NF3/(NF3+H2)的比率为小于或等于0.3。
CN201610087456.5A 2015-02-16 2016-02-16 用于在狭小空间各向同性蚀刻硅的无残留的系统和方法 Active CN105895519B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US14/623,144 2015-02-16
US14/623,144 US9385003B1 (en) 2015-02-16 2015-02-16 Residue free systems and methods for isotropically etching silicon in tight spaces

Publications (2)

Publication Number Publication Date
CN105895519A CN105895519A (zh) 2016-08-24
CN105895519B true CN105895519B (zh) 2020-02-18

Family

ID=56234996

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201610087456.5A Active CN105895519B (zh) 2015-02-16 2016-02-16 用于在狭小空间各向同性蚀刻硅的无残留的系统和方法

Country Status (4)

Country Link
US (1) US9385003B1 (zh)
KR (1) KR102658106B1 (zh)
CN (1) CN105895519B (zh)
TW (1) TWI682427B (zh)

Families Citing this family (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN109887872A (zh) * 2019-03-29 2019-06-14 华南理工大学 用于制备凹槽栅增强型器件的精准刻蚀装置及其刻蚀方法

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8679982B2 (en) * 2011-08-26 2014-03-25 Applied Materials, Inc. Selective suppression of dry-etch rate of materials containing both silicon and oxygen
CN103809240A (zh) * 2012-11-13 2014-05-21 上海华虹宏力半导体制造有限公司 一种在硅基工艺上制作光纤对准基座阵列的方法
CN103843117A (zh) * 2011-10-07 2014-06-04 应用材料公司 通过介稳氢终止的硅的选择性蚀刻

Family Cites Families (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5851926A (en) * 1996-10-01 1998-12-22 Applied Materials, Inc Method for etching transistor gates using a hardmask
US6184158B1 (en) 1996-12-23 2001-02-06 Lam Research Corporation Inductively coupled plasma CVD
JP4060450B2 (ja) * 1998-07-10 2008-03-12 アプライド マテリアルズ インコーポレイテッド ドライエッチング方法
KR100446619B1 (ko) * 2001-12-14 2004-09-04 삼성전자주식회사 유도 결합 플라즈마 장치
KR100734669B1 (ko) * 2003-08-08 2007-07-02 동부일렉트로닉스 주식회사 반도체 소자의 제조 방법 및 그 장치
US7977249B1 (en) * 2007-03-07 2011-07-12 Novellus Systems, Inc. Methods for removing silicon nitride and other materials during fabrication of contacts
US9059678B2 (en) 2011-04-28 2015-06-16 Lam Research Corporation TCCT match circuit for plasma etch chambers

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8679982B2 (en) * 2011-08-26 2014-03-25 Applied Materials, Inc. Selective suppression of dry-etch rate of materials containing both silicon and oxygen
CN103843117A (zh) * 2011-10-07 2014-06-04 应用材料公司 通过介稳氢终止的硅的选择性蚀刻
CN103809240A (zh) * 2012-11-13 2014-05-21 上海华虹宏力半导体制造有限公司 一种在硅基工艺上制作光纤对准基座阵列的方法

Also Published As

Publication number Publication date
CN105895519A (zh) 2016-08-24
KR102658106B1 (ko) 2024-04-16
KR20160100843A (ko) 2016-08-24
TW201709263A (zh) 2017-03-01
US9385003B1 (en) 2016-07-05
TWI682427B (zh) 2020-01-11

Similar Documents

Publication Publication Date Title
CN106952799B (zh) 使用基于等离子体的工艺消除氟残余物的系统和方法
CN106601612B (zh) 用于超高选择性的氮化物蚀刻的系统和方法
KR101880831B1 (ko) 가스 펄싱을 사용하는 딥 실리콘 에칭 방법
US11842888B2 (en) Removing metal contamination from surfaces of a processing chamber
US20160148813A1 (en) Gas injection method for uniformly processing a semiconductor substrate in a semiconductor substrate processing apparatus
US8809194B2 (en) Formation of SiOCl-containing layer on spacer sidewalls to prevent CD loss during spacer etch
WO2003037497A2 (en) Method of etching high aspect ratio features
US20150228463A1 (en) Cleaning process for cleaning amorphous carbon deposition residuals using low rf bias frequency applications
US10332725B2 (en) Systems and methods for reversing RF current polarity at one output of a multiple output RF matching network
WO2018140493A1 (en) Isotropic etching of film with atomic layer control
US20180247828A1 (en) Systems for performing in-situ deposition of sidewall image transfer spacers
CN110537241A (zh) 使用同时存在的原位和远程等离子体源进行快速室清洁
US20200411297A1 (en) Substrate processing system including coil with rf powered faraday shield
TW201920733A (zh) 變壓器耦合的電漿蝕刻室中的整合式原子層鈍化及原位原子層鈍化蝕刻方法
CN112585715B (zh) 在衬底处理系统中用于无匹配式等离子体源的直接频率调谐
US20230298896A1 (en) Metal-based liner protection for high aspect ratio plasma etch
US10347464B2 (en) Cycle-averaged frequency tuning for low power voltage mode operation
CN105895519B (zh) 用于在狭小空间各向同性蚀刻硅的无残留的系统和方法
WO2004097909A2 (en) Method and apparatus for deep trench silicon etch
CN106298499B (zh) 硼掺杂碳的硬掩膜层的选择性去除
CN110164764B (zh) 等离子体蚀刻方法和等离子体蚀刻装置
CN106128995A (zh) 作为金属扩散阻挡层的无定形碳的高选择性沉积
JP2023512201A (ja) 高電力、高圧プロセス用の分割されたガス分配プレート

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant