CN105629657B - Euv掩模和通过使用euv掩模的制造方法 - Google Patents

Euv掩模和通过使用euv掩模的制造方法 Download PDF

Info

Publication number
CN105629657B
CN105629657B CN201510843839.6A CN201510843839A CN105629657B CN 105629657 B CN105629657 B CN 105629657B CN 201510843839 A CN201510843839 A CN 201510843839A CN 105629657 B CN105629657 B CN 105629657B
Authority
CN
China
Prior art keywords
layer
mask
absorbed layer
range
coating
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
CN201510843839.6A
Other languages
English (en)
Other versions
CN105629657A (zh
Inventor
石志聪
游信胜
陈政宏
严涛南
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Original Assignee
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Taiwan Semiconductor Manufacturing Co TSMC Ltd filed Critical Taiwan Semiconductor Manufacturing Co TSMC Ltd
Publication of CN105629657A publication Critical patent/CN105629657A/zh
Application granted granted Critical
Publication of CN105629657B publication Critical patent/CN105629657B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/22Masks or mask blanks for imaging by radiation of 100nm or shorter wavelength, e.g. X-ray masks, extreme ultraviolet [EUV] masks; Preparation thereof
    • G03F1/24Reflection masks; Preparation thereof
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/54Absorbers, e.g. of opaque materials

Landscapes

  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)
  • Preparing Plates And Mask In Photomechanical Process (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Structural Engineering (AREA)
  • Plasma & Fusion (AREA)
  • Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Architecture (AREA)
  • Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)

Abstract

本发明实施例提供了一种光刻掩模。光刻掩模包括:含有低热膨胀材料(LTEM)的衬底。反射结构设置在衬底上方。覆盖层设置在反射结构上方。吸收层设置在覆盖层上方。吸收层含有折射率在从约0.95至约1.01的范围内和消光系数大于约0.03的材料。本发明实施例涉及EUV掩模和通过使用EUV掩模的制造方法。

Description

EUV掩模和通过使用EUV掩模的制造方法
优先权数据
本申请要求于2014年11月26日提交的标题为“EUV Mask and ManufacturingMethod by Using the Same”的临时专利申请第62/084,608号的优先权,其全部内容通过引用结合于此作为参考。
技术领域
本发明实施例涉及EUV掩模和通过使用EUV掩模的制造方法。
背景技术
半导体集成电路(IC)产业经历了指数式发展。IC材料和设计中的技术进步已经产生了数代的IC,其中每代IC都具有比上一代IC更小和更复杂的电路。在IC发展过程中,部件密度(即,每一芯块面积上互连器件的数量)通常已经增加而几何尺寸(即,使用制造工艺可以制造的最小的组件(或线))却已减小。通常这种按比例缩小工艺通过提高生产效率和降低相关成本而带来益处。这种按比例缩小已经增加了处理和制造IC的复杂度。为了实现这些进步,需要IC处理和制造中的类似发展。例如,对实施更高分辨率光刻工艺的需要增长了。一种光刻技术是极紫外光刻(EUVL)。其他技术包括X-射线光刻、离子束投影光刻、电子束投影光刻和多个电子束无掩模光刻。
EUVL采用使用极紫外(EUV)区中的光的扫描仪,极紫外(EUV)区中的波长为约1-100nm。一些EUV扫描仪提供4X缩小投影印刷,类似于一些光学扫描仪,除了EUV扫描仪使用反射而不是折射光学器件,即,反射镜代替透镜。EUV扫描仪在形成于反射掩模上的吸收层(“EUV”掩模吸收件)上提供期望的图案。目前,在用于制造集成电路的EUVL中采用二元强度掩模(BIM)。除了EUVL采用EUV区中的光(即,在13.5nm处)之外,EUVL非常类似于光学光刻,类似之处在于其需要掩模以印刷晶圆。在13.5nm左右的波长处,所有材料都高度吸收。因此,使用反射光学器件而不是折射光学器件。多层(ML)结构作为EUV空白掩模。
然而,常规的EVU掩模及其制造仍具有缺陷。例如,EVU掩模具有吸收层。传统的EUV掩模吸收层可以导致较大的投影移位(aerial image shifts),这是不期望的。作为另一个实例,EUV掩模通常需要薄膜,其可以用作保护罩以保护EUV掩模免受损坏和/或污染粒子的影响。然而,根据特定的传统制造工艺的薄膜的制造可能导致薄膜变得扭曲、损坏或以其他方式受到破坏,从而使得薄膜不可用。
因此,虽然EUV光刻系统和工艺通常已经满足它们的预期目的,但是它们没有在所有方面都尽如人意。需要一种EUV光刻方法系统以解决上述问题。
发明内容
根据本发明的一些实施例,提供了一种光刻掩模,包括:衬底,含有低热膨胀材料(LTEM);反射结构,设置在所述衬底上方;覆盖层,设置在所述反射结构上方;以及吸收层,设置在所述覆盖层上方,其中,所述吸收层含有具有在从约0.95至约1.01的范围内的折射率和大于约0.03的消光系数的材料。
根据本发明的另一些实施例,提供了一种晶圆制造工艺,包括:在衬底上方形成材料层;在所述材料层上方形成光刻胶层;以及在光刻工艺中使用极紫外(UV)掩模图案化所述光刻胶层,其中,所述UV掩模包括:衬底,含有低热膨胀材料(LTEM);反射结构,设置在所述衬底上方;覆盖层,设置在所述反射结构上方;和吸收层,设置在所述覆盖层上方,其中,所述吸收层含有具有在从约0.95至约1.01的范围内的折射率和大于约0.03的消光系数的材料。
根据本发明的又一些实施例,提供了一种制造光刻掩模的方法,包括:在低热膨胀材料(LTEM)衬底上方形成反射结构;在所述反射结构上方形成覆盖层;以及在所述覆盖层上方形成吸收层,其中,所述吸收层含有具有在从约0.95至约1.01的范围内的折射率和大于约0.03的消光系数的材料。
附图说明
当结合附图进行阅读时,根据下面详细的描述可以更好地理解本发明的方面。应该强调的是,根据工业中的标准实践,各个部件没有被按比例绘制。实际上,为了清楚的讨论,各种部件的尺寸可以被任意地增大或减小。
图1是根据一些实施例构建的光刻系统的示意图。
图2是根据一些实施例构建的EUV掩模的剖视图。
图3至图4是示出不同EUV掩模的投影移位的图。
图5是根据一些实施例的制造EUV掩模的方法的流程图。
图6是根据一些实施例的晶圆的简化的顶视图。
图7至图14是根据一些实施例的示出EUV掩模薄膜的制造的各个器件的简化的截面侧视图。
图15是根据一些实施例的形成EUV掩模薄膜的方法的流程图。
具体实施方式
以下公开内容提供了许多用于实现本发明的不同特征的不同实施例或实例。以下将描述组件和布置的具体实例以简化本发明。当然,这些仅仅是实例并且不旨在限制。例如,在以下描述中,在第二部件上方或上形成第一部件可以包括第一部件和第二部件直接接触的实施例,也可以包括形成在第一部件和第二部件之间的附加部件使得第一部件和第二部件不直接接触的实施例。此外,本发明可以在各个实例中重复参考标号和字符。这种重复是为了简化和清楚的目的,并且其本身并不表示所论述多个实施例和/或配置之间的关系。
而且,为便于描述,在此可以使用诸如“在...之下”、“在...下方”、“下部”、“在...之上”、“上部”等的空间相对术语,以描述如图所示的一个元件或部件与另一(或另一些)元件或部件的关系。除了图中所示的方位外,空间相对位置术语旨在包括器件在使用或操作中的不同方位。器件可以以其他方式定向(旋转90度或在其他方位上),而本文使用的空间相对描述符可以同样地作相应的解释。
图1是根据一些实施例构建的光刻系统10的示意图。光刻系统10也可通常称为扫描仪,可操作扫描仪以利用相应的辐射源和曝光模式实施光刻曝光工艺。在本实施例中,光刻系统10是设计为通过EUV光曝光光刻胶层的极紫外(EUV)光刻系统。光刻胶层是对EUV光敏感的材料。EUV光刻系统10采用辐射源12产生以EUV光,诸如具有介于约1nm和约100nm之间的范围内的波长的EUV光。在一个特定的实例中,辐射源12产生具有集中在约13.5nm的波长的EUV光。因此,辐射源12也被称为紫外辐射源12。
光刻系统10还采用了照明器14。在各个实施例中,该照明器14包括各种折射光学组件,诸如单透镜或具有多个透镜的透镜系统(波带板)或者可选地反射光学器件(以用于EUV光刻系统),诸如单反射镜或具有多个反射镜的反射镜系统,以将光从辐射源12导向至掩模台16,特别是导向至固定在掩模台16上的掩模18。在本实施例中,其中,辐射源12产生EUV波长范围内的光,照明器14采用反射光学器件。在一些实施例中,该照明器14包括偶极照明组件。
在一些实施例中,该照明器14是可操作的以配置反射镜,从而向掩模18提供适当的照明。在一个实例中,该照明器14的反射镜是可切换的以将EUV光反射至不同的照明位置。在一些实施例中,照明器14之前的工作台可以额外地包括其他可切换的反射镜,该其他可切换的反射镜是可控制的以利用照明器14的反射镜将EUV光导向至不同的照明位置。在一些实施例中,照明器14配置为向掩模18提供同轴照明(ONI)。在实例中,采用具有至多为0.3的部分相干σ的磁盘照明器14。在一些其他实施例中,照明器14配置为向掩模18提供离轴照明(OAI)。在实例中,照明器14是偶极照明器。在一些实施例中,偶极照明器具有至多0.3的部分相干σ。
该光刻系统10还包括配置为固定掩模18的掩模台16。在一些实施例中,掩模台16包括静电卡盘(e-卡盘)以固定掩模18。这是因为气体分子吸收EUV光,并且用于EUV光刻图案化的光刻系统保持在真空环境下以避免EUV强度损失。在本发明中,交替使用掩模、光掩模和中间掩模以代表相同的物质。
在本实施例中,光刻系统10是EUV光刻系统,和掩模18是反射掩模。为了说明的目的,提供了掩模18的一个示例性结构。掩模18包括具有合适的材料的衬底,诸如低热膨胀材料(LTEM)或熔融石英。在各个实例中,LTEM包括TiO2掺杂的SiO2,或者具有低热膨胀的或其他合适的材料。
掩模18还包括沉积在衬底上的反射ML。该ML包括多个膜对,诸如钼-硅(Mo/Si)膜对(例如,在每一个膜对中,钼层位于硅层之上或之下)。可选地,ML可以包括钼-铍(Mo/Be)膜对,或者包括可配置为以高度反射EUV光的其他合适的材料。
该掩模18可进一步包括覆盖层,诸如设置在ML上以用于保护的钌(Ru)。掩模18还包括沉积在ML上方的吸收层。吸收层被图案化为限定集成电路(IC)的层,根据本发明的各个方面,在下文中更详细地论述该吸收层。可选地,另一个反射层可以沉积在ML上方并且被图案化以限定集成电路的层,从而形成EUV相移掩模。
光刻系统10还包括投影光学模块(或投影光学框(POB)20)以将掩模18的图案成像至固定于光刻系统10的衬底台28上的半导体衬底26。在各个实施例中,POB 20具有折射光学器件(诸如用于UV光刻系统)或者可选地反射光学器件(诸如用于EUV光刻系统)。POB 20收集从掩模18导向的光,并且该光携带限定在掩模上的图案的图像。照明器14和POB20共同称为光刻系统10的光学模块。
该光刻系统10还包括光瞳相位调制器22以调节从掩模18导向的光的光学相位,从而使得光在投影光瞳平面24上具有相位分布。在光学模块中,存在具有对应于物体(在本例中的掩模18)的傅立叶变换的场分布的平面。该平面称为投影光瞳平面。光瞳相位调制器22提供用于调节投影光瞳平面24上的光的光学相位的机构。在一些实施例中,光瞳相位调制器22包括用于调整POB 20的反射镜的机构以用于相位调制。例如,POB 20的反射镜可切换并且被控制为反射EUV光,从而通过POB 20调节光的相位。
在一些实施例中,光瞳相位调制器22利用放置在投影光瞳平面上的光瞳滤波器。光瞳滤波器滤除来自掩模18的EUV光的特定空间频率分量。特别是,光瞳滤波是相位型光瞳滤波器,该光瞳滤波器的功能在于调节通过POB 20导向的光的相位分布。然而,由于所有的材料吸收EUV光,因此利用相位光瞳滤波器限制于一些光刻系统(诸如EUV光刻系统)中。
如上文所讨论的,该光刻系统10还包括衬底台28,以固定将被图案化的目标26,目标26诸如半导体衬底。在本实施例中,半导体衬底是半导体晶圆,诸如硅晶圆或其他类型的晶圆。目标26涂布有对诸如本发明实施例中的EUV光的辐射束敏感的光刻胶层。包括上面所述的那些的各种组件集成在一起并且可操作以实施光刻曝光工艺。该光刻系统10还可以包括其他模块或与其他模块集成(或与其他模块连接)。
根据一些实施例进一步描述了掩模18及其制造方法。在一些实施例中,掩模制造工艺包括两个操作:空白掩模制造工艺和掩模图案化工艺。在空白掩模制造工艺中,通过在合适的衬底上沉积合适的层(例如,多个反射层)来形成空白掩模。然后,在掩模图案化工艺期间图案化空白掩模以实现集成电路(IC)的层的期望的设计。图案化的掩模,然后用于将电路图案(例如,IC的层的设计)转印到半导体晶圆上。可以通过各个光刻工艺将图案反复转印至多个晶圆上。一组掩模是用来构建完整的IC。
在各个实施例中,掩模18包括合适的结构,诸如二元强度掩模(BIM)和相移掩模(PSM)。示例性BIM包括吸收区(也被称为不透明区)和反射区,该吸收区和反射区被图案化以限定将被转移至目标的IC图案。在不透明的区域,存在吸收件,并且入射光几乎完全被吸收件吸收。在反射区中,吸收件被去除并且入射光被多层(ML)衍射。PSM可以是衰减的PSM(AttPSM)或交替的PSM(AltPSM)。示例性PSM包括根据IC图案而被图案化的第一反射层(诸如反射ML)和第二反射层。在一些实例中,AttPSM通常具有来自于它的吸收体的2%-15%的反射率,而AltPSM通常具有来自于它的吸收体的大于50%的反射率。
在图2中示出了掩模18的一个实例。掩模18是EUV掩模,并且包括由LTEM制成的衬底30。LTEM材料可以包括TiO2掺杂的SiO2,和/或本领域已知的其他低热膨胀材料。在一些实施例中,导电层32额外地设置在LTEM衬底30的背侧上以用于静电卡紧的目的。在一个实例中,导电层32包括氮化铬(CrN),但是其他合适的成分是可能的。
EUV掩模18包括设置在LTEM衬底30上方的反射多层(ML)34。ML34可以选择为使得它提供对选择的辐射类型/波长的高反射率。ML34包括多个膜对,诸如Mo/Si膜对(例如,在每一个膜对中,钼层位于硅层之上或之下)。可选地,ML34可以包括Mo/Be膜对或具有折射率差在EUV波长处被高度反射的任何材料。每层ML34的厚度取决于EUV波长和入射角。特别地,调整ML34的厚度(和膜对的厚度)以实现在每个界面处衍射的EUV光的最大相长干涉和EUV光通过ML34的最小吸收。
EUV掩模18还包括设置在ML34上方以防止ML的氧化的覆盖层36。在一个实施例中,覆盖层36包括具有介于约4nm至约7nm的范围内的厚度的硅。EUV掩模18可以还包括设置在覆盖层36之上以在吸收层的图案化或修复工艺中用作蚀刻停止层的缓冲层38,这将在后文中描述。缓冲层38与设置在其上的吸收层具有不同的蚀刻特性。在各个实例中,缓冲层38包括钌(Ru),诸如RuB、RuSi的Ru化合物、铬(Cr)、氧化铬和氮化铬。
EUV掩模18还包括形成在缓冲层38上方的吸收层40(也被称为吸附层)。在一些实施例中,吸收层40吸收导向至掩模上的EUV辐射。在传统的EUV掩模中,吸收层通常是由氮化硼钽、氧化硼钽或铬制成的。然而,在传统的EUV掩模中使用这些材料可能导致问题。一个问题涉及在偶极照明方案中的曝光期间的不期望的投影移位。
例如,现参考图3,图100示出了对于示例性传统EUV掩模的光强度相对于空间频率(ν)的曲线110-112。传统的EUV掩模具有由TaBo和/或TaBN制成的吸收层。如上所述,照明器14(图1中示出)包括偶极照明光学器件。当诸如TaBo和/或TaBN的传统的材料用于实现吸收层时,偶极照明光学器件导致产生曲线110(在左侧上)和曲线111(在右侧上)。这两条曲线110-111的平均是曲线112(在中间)。如图3视觉指示,曲线110和曲线111彼此之间具有相对较大的偏移,并且因此,每条曲线110/111也具有与平均曲线112的相对较大的偏移。这代表与示例性的传统的EUV掩模相关的相对较大的投影移位。由于这可能导致较差的光刻性能,在曝光期间这样的投影移位是不期望的。
根据本发明的各个实施例,EUV掩模的吸收层配置为具有有助于最小化上文参考图3论述的投影移位问题的材料。更详细地,本发明的EUV掩模的吸收层材料的折射率和消光系数各自被调整到特定的范围内。在一些实施例中,本发明的EUV掩模的吸收层材料具有从约0.95到约1.01的范围内的折射率和大于约0.03的消光系数。在一些进一步的实施例中,该吸收层材料的折射率在0.975到1的范围内。在又进一步的实施例中,该吸收层材料的折射率在从0.985到0.995的范围内。在一些实施例中,吸收层材料的消光系数在从0.4到0.54的范围内。在一些进一步的实施例中,吸收层材料的消光系数在从0.45到0.5的范围内。
根据本发明的一些实施例,为了满足以上论述的折射率和/或消光系数的范围,吸收层材料包括镭。在一些其他实施例中,该吸收层的材料包括一种或多种以下材料的合适的氧化物或氮化物:海岩(Actium)、镭、碲、锌、铜和铝。在又一些其他实施例中,该吸收层的材料包括一种或多种以下材料的合适的合金或氧化物:海岩(Actium)、镭、碲、锌、铜和铝。例如,吸收层的材料可以是TeO2、Al2O3、CuO2、ZnO2或CuZn。
具有特定配置的材料(即,具有特定范围的折射率和消光系数)的吸收层允许曝光期间的投影移位大大降低。这在图4中视觉示出,图4示出了图200,图200示出了根据本发明的对于EUV掩模的示例性实施例的光强度相对于空间频率(ν)的曲线210-212。换句话说,对应于图200的EUV掩模的吸收层材料具有特定配置的折射率和/或消光系数。例如,EUV掩模的吸收层材料具有在从0.975到1的范围内的折射率和从0.4到0.54范围内的消光系数。
当这些材料用于实现吸收层时,偶极照明光学器件导致图4中示出的曲线210(在左侧上)和曲线211(在右侧上)。两条曲线210-211的平均是曲线212(在中间)。如图4视觉示出,曲线210和曲线211彼此之间具有相对较小的偏移,并且因此,每条曲线210/211也具有与平均曲线212的相对较小的偏移。这代表与本发明的EUV掩模相关的相对较小的投影移位。由于较小的投影移位导致改进的光刻性能,因此较小的投影移位是期望的。
图5是根据本发明的实施例的制造光刻掩模的方法300的简化的流程图。在一些实施例中,光刻掩模是EUV掩模。方法300包括在低热膨胀材料(LTEM)衬底上方形成反射结构的步骤310。在一些实施例中,LTEM衬底包含TiO2掺杂的SiO2。反射结构配置对预定的辐射波长提供高反射率,例如,在EUV范围内的波长。在一些实施例中,该反射结构包括多个Mo/Si膜对或者多个Mo/Be膜对。
该方法300包括在反射结构上方形成覆盖层的步骤320。在一些实施例中,覆盖层包含硅。
该方法300包括在覆盖层上方形成吸收层的步骤330。吸收层所包含的材料具有在从约0.95至约1.01的范围内的折射率和大于约0.03的消光系数。吸收层材料特定地配置为减小EUV掩模的投影移位。在一些实施例中,吸收层材料的折射率在从0.975到1的范围内。在一些进一步的实施例中,该吸收层材料的折射率在从0.985到0.995的范围内。在一些实施例中,吸收层的消光系数在从0.4到0.54的范围内。在一些实施例中,吸收层的材料包括镭。在一些其他实施例中,吸收层的材料包括Al、Te、Cu或者Ge。
应当理解,可以在本文中示出的步骤310-330之前,期间或之后可以实施额外的步骤。例如,方法300可以包括在覆盖层和吸收层之间形成缓冲层的步骤。缓冲层和吸收层具有不同的蚀刻特性。为了简化的原因,在本文中不具体讨论额外的步骤。
本发明的另一方面涉及用于形成EUV掩模的薄膜的方法和装置。薄膜包括放置在EUV掩模之上的薄的膜,并且它保护EUV掩模免受污染粒子或可以破坏掩模的其他物质的影响。在光刻工艺期间,EUV掩模(并且因此薄膜)可以经历可以撕裂薄膜或者使薄膜破裂的各种移动,因为它是薄的膜。为了防止或最小化对薄膜的破坏,特定的EUV掩模采用的薄膜是由网状结构(例如,类似于蜂巢)支撑的以增加薄膜的整体结构完整性。不幸的是,网状结构阻挡EUV光,这导致图案不均匀的问题。
根据本发明的各个方面,代替使用网状结构,将薄膜接合至临时接合层以防止或最小化对薄膜的破坏。此外,薄膜实施为具有增强强度的材料以进一步降低对薄膜的破坏的可能性,这将参考图6至图15在下文中更详细地讨论。
参考图6,提供了晶圆400的简化的顶视图。在一些实施例中,晶圆400是绝缘体上外延层晶圆,这将在后文中参考图7更具体地论述。对晶圆400实施切割或分割工艺以将晶圆400分为多块。切割出至少一块400A,使得它具有在一个水平方向或在横向方向上测量的尺寸410和在另一个水平方向或横向方向上测量的尺寸411。在一些实施例中,两个不同的水平/横向尺寸可以彼此垂直。尺寸410-411被配置为使得它们匹配用于薄膜的框架保持架的开口的水平或横向尺寸,这将在下文中参考图9论述。
参考图7,示出了晶圆400A的部分(为简单起见以下简称晶圆400A)的简化的截面图。晶圆400A包括衬底420。在一些实施例中,该衬底420包括半导体材料,例如硅。在其他实施例中,该衬底420可包括绝缘材料或导体材料。该衬底420具有初始厚度425。
该衬底420具有背侧430和与背侧430相对的正侧430。电绝缘层440设置在衬底420的正侧431上方。在一些实施例中,电绝缘层440包括介电材料,例如,氧化硅、氮化硅、氮氧化硅等。层450设置在电绝缘层440上方。换言之,电绝缘层440设置在衬底420和层450之间。层450具有厚度455。在一些实施例中,厚度455在从约10纳米(nm)到约100nm的范围内。在一些实施例中,层450包括外延生长的材料,诸如碳化硅。在其他实施例中,该层450包括单晶硅(具有不同的方向)。在一些其他实施例中,层450包含石墨烯。选择这些材料是为了它们增强的强度,因为层450将变成根据本发明的制造流程的EUV掩模的薄膜。在以下表1中列举了用于层450的各个候选材料。
表1
现在参考图8,对晶圆400A的背侧430实施研磨工艺470。研磨工艺470将衬底降低至新的厚度460,在一些实施例中,厚度460在从约10纳米(nm)至约100nm的范围内。
现在参考图9,示出了载体500、临时接合层510和框架保持架530的简化的界面侧视图。在一些实施例中,载体500包括能够提供机械强度和支撑的载体,诸如陶瓷衬底、金属衬底、块状硅衬底等。临时接合层510附接至载体500,并且框架保持架530附接至临时接合层510(或者不同地表述为,通过临时接合层510附接至载体500)。临时接合层510具有允许其附接至诸如框架保持架530和载体500的另一层的粘合性能。此外,当以合适的工艺处理(例如,加热工艺或紫外固化工艺)临时接合层510时,临时接合层510具有发泡性能。
例如,现在参考图10,根据示例性上下文示出了临时层510的更详细的截面图。临时接合层510设置在位于一侧上的基底膜上并且接合至位于另一侧上的层X上。临时接合层510含有发泡颗粒550(或当处理时具有形成性能的材料)以及粘合材料560。粘合材料560(例如,胶状材料)允许临时接合层510粘附或接合到层X。但是,当对临时接合层510进行诸如加热工艺或UV固化工艺的处理工艺时,发泡颗粒550在尺寸或体积上膨胀。发泡颗粒的膨胀减小了层510和层X之间的接触面积,从而使得层X失去与层510的接合或粘附。以这种方式,层X可以很容易地从临时接合层510分离。利用临时接合层510的这一性能以利于本发明的制造工艺,这将参考图13在下文中详细论述。
参考图9,该框架保持架530限定了开口600,开口600具有匹配(即,等于)晶圆400A(在图6中示出)的水平/横向尺寸410的水平或横向尺寸610。已经示出了框架保持架530的不同的截面侧视图(即,垂直于在图9中示出的图的截面图),开口600将已示出为具有与晶圆400A的水平/横向尺寸411匹配的水平/横向尺寸。换句话说,晶圆400A的顶部视图几何结构或形状与开口600的顶部视图几何结构或形状匹配(例如,基本相同)。
参考图11,将晶圆400A垂直翻转(即,上下倒置翻转),然后将晶圆400A插入开口600内。由于开口600和晶圆400A在顶视图中具有基本相同的几何结构,所以开口600容纳晶圆400A。根据一些实施例,晶圆400A也紧密贴合在开口600内侧,从而框架保持架530的侧壁和晶圆400A的边缘之间的任何空间是可以忽略的。
如图11所示,在插入到开口600内之后,衬底420变成暴露表面(通过开口600暴露),而层450与临时接合层510直接接触。如上面所讨论的,由于临时性接合层510具有粘合性能,因此,层450附接或接合到临时接合层510。层450(并且由此晶圆400A)附接至临时接合层510(并且因此附接至载体500)有助于防止或最小化对层450的破坏。更详细地,诸如室内通风或手动处理等的各种工艺可能涉及层450的移动(例如,垂直移动)。这样的移动可能导致层450撕裂、剥离、刮擦或破裂,特别是由于层450较薄(例如,10-100nm)。本文中,由于层450通过临时接合层450接合至载体500,所以层450的移动与载体500相关联,并且因此,在涉及层450的移动的工艺期间,层450不太可能被撕裂、剥离、刮擦或破裂。此外,由于层450的特定的材料组成(例如,碳化硅),层450的增强的强度也降低了损坏层450的可能性。
现在参考图12,实施一个或多个蚀刻工艺630以蚀刻掉衬底420和电绝缘层440。在蚀刻工艺630结束时,层450保留在开口600中。在制造的这一阶段,晶圆400A已经降低至约10-100nm的厚度,这是层450的厚度。在一些实施例中,当层450起初形成得太厚时,可以额外地蚀刻层450以进一步降低其厚度。
现在参考图13,实施加热或紫外(UV)固化工艺650以将框架保持架530和层450与临时接合层510分离。正如上面所讨论的,在应用加热或UV辐射下,临时接合层510中的发泡材料膨胀,从而使得临时接合层510失去其与框架保持架530和层450的附着。以这种方式,将临时接合层510和载体500从设置在其中的框架保持架530和层450分离。
现在参考图14,将设置在其中的框架保持架530和层450共同垂直翻转(即,上下倒置)和然后将框架保持架530和层450放置或设置在EUV掩模670上方。在一些实施例中,EUV掩模670是传统的EUV掩模。在其他实施例中,EUV掩模670是如上所述的具有特定配置的吸收层的EUV掩模18。在制造的这一阶段,层450用作EUV掩模670的薄膜。换句话说,层450保护EUV掩模670免受污染粒子或可以潜在地破坏EUV掩模或以其他方式干扰EUV光刻的其他物体的影响。
图15是示出制造EUV掩模的薄膜的简化的方法700的流程图。该方法700包括提供晶圆的步骤710。晶圆包括衬底,设置在衬底上方的绝缘层,和设置在绝缘层上方的外延层。在一些实施例中,外延层含有碳化硅。在其他实施例中,外延层可以包括石墨烯或单晶硅。
方法700包括将晶圆切割成多块的步骤720。至少一块晶圆的横向几何结构匹配通过框架保持架限定的开口的横向几何结构以用于极紫外(EUV)光刻掩模。
方法700包括步骤730:从背侧研磨至少一块晶圆。
方法700包括步骤740:将研磨的晶圆块插入到通过框架保持架限定的开口内。框架保持架通过粘合层附接至载体。实施插入,从而使得研磨的晶圆块的正侧附接至粘合层。粘合层含有当被加热或紫外辐射处理时膨胀的发泡颗粒,从而使得粘合层失去与蚀刻的晶圆块的粘合性。
方法700包括步骤750:从背侧蚀刻研磨的晶圆块,直到晶圆块达到预定的厚度。
方法700包括步骤760:实施加热工艺或紫外固化工艺以将蚀刻的晶圆块与粘合层分离。蚀刻的晶圆块用作EUV掩模的薄膜。
在一些实施例中,对衬底和绝缘层实施研磨(步骤730)和蚀刻(步骤750)。
应当理解,可以在图15的步骤710-760的步骤之前、期间或之后实施额外的制造工艺。例如,方法700可以包括将薄膜放置在EUV掩模上的额外的步骤。为了简化的原因,其他的制造工艺在本文中不再详细论述。
基于以上讨论,可以看出,本发明提供了EUV光刻中的各种优势。然而,应当理解,并非所有的优势都必须在本文中论述,并且其他实施例可以提供不同的优势,并且没有特定的优势是对所有的实施例所必须的。
一个优势是EUV掩模的吸收层具有特定配置的材料组成以最小化曝光期间的投影移位。结果,提高了EUV光刻性能。另一个优势是,根据本发明的实施例制造的薄膜由于它的材料组成(例如,碳化硅)具有增强的强度,这减小了在诸如通风和处理工艺的各种工艺期间的破坏的可能性。又一个优势是使用临时接合层以固定薄膜进一步降低了薄膜的任何剥离或破裂的可能性。通过诸如加热或UV固化的处理工艺也容易地去除临时接合层。本文中论述的工艺也简单和容易实施并且与现有的工艺流程兼容。
根据一些实施例,本发明提供了一种光刻掩模。光刻掩模包括含有低热膨胀材料(LTEM)的衬底。反射结构设置在衬底上方。覆盖层设置在反射结构上方。吸收层设置在覆盖层上方。吸收层含有具有折射率在从约0.95至约1.01的范围内和消光系数大于约0.03的材料。
根据一些实施例,本发明提供了一种光刻系统。光刻系统包括配置为产生极紫外(EUV)辐射的辐射源、EUV掩模和照明器。EUV掩模包括吸收层,吸收层含有具有折射率在从约0.95至约1.01的范围内和消光系数大于约0.03的材料。照明器包括一个或多个折射或反射光学组件。照明器配置为将EUV辐射导向至EUV掩模上。
根据一些实施例,本发明提供了一种制造光刻掩模的方法。在低热膨胀材料(LTEM)衬底上方形成反射结构。在反射结构上方形成覆盖层。在覆盖层上方形成吸收层。吸收层含有具有折射率在从约0.95至约1.01的范围内和消光系数大于约0.03的材料。
根据一些实施例,本发明提供了一种方法。从背侧研磨晶圆。将晶圆插入到通过框架保持架限定的开口内。通过临时层将框架保持架附接至载体。实施插入,从而使得晶圆的正侧附接至临时层。从背侧蚀刻晶圆直到晶圆达到预定厚度。之后,将其中的框架保持架和晶圆从临时层和载体分离。
根据一些实施例,本发明提供了一种方法。从背侧研磨晶圆的部分。之后,将晶圆的部分插入到通过框架保持架限定的开口内。通过临时接合层将框架保持架附接至载体。将晶圆的部分的正侧接合至临时接合层。之后,从背侧蚀刻晶圆的部分直到晶圆的该部分达到介于约10纳米至约100纳米的范围内的预定厚度。之后,实施加热工艺或者紫外固化工艺以将临时接合层与晶圆的部分分离,从而形成具有晶圆的分离部分的薄膜。
根据一些实施例,本发明提供了一种方法。提供晶圆。晶圆含有衬底、设置在衬底上方的绝缘层和设置在绝缘层上方的外延层。将晶圆切割成多个块。至少一个块的横向几何结构与通过框架保持架限定的开口的横向几何结构匹配以用于极紫外(EUV)光刻掩模。从背侧研磨晶圆的至少一个块。之后,将研磨的晶圆的块插入到通过框架保持架限定的开口内。框架保持架通过粘合层附接至载体。将研磨的晶圆的块的正侧附接至粘合层。之后,从背侧蚀刻研磨的晶圆的块,直到晶圆的块达到预定厚度。之后,实施加热工艺或紫外固化工艺以将蚀刻的晶圆的块与粘合层分离。蚀刻的晶圆的块用作EUV掩模的薄膜。
根据本发明的一些实施例,提供了一种光刻掩模,包括:衬底,含有低热膨胀材料(LTEM);反射结构,设置在所述衬底上方;覆盖层,设置在所述反射结构上方;以及吸收层,设置在所述覆盖层上方,其中,所述吸收层含有具有在从约0.95至约1.01的范围内的折射率和大于约0.03的消光系数的材料。
在上述光刻掩模中,所述吸收层的材料的折射率在从0.975至1的范围内。
在上述光刻掩模中,所述吸收层的材料的折射率在从0.985至0.995的范围内。
在上述光刻掩模中,所述吸收层的消光系数在从0.4至0.54的范围内。
在上述光刻掩模中,所述吸收层的材料包括镭、Al、Te、Cu或Ge之一。
在上述光刻掩模中,还包括:缓冲层,设置在所述覆盖层和所述吸收层之间,其中,所述缓冲层和所述吸收层具有不同的蚀刻特性。
在上述光刻掩模中,所述反射结构配置为提供对预定辐射波长的高反射率。
在上述光刻掩模中,所述LTEM包括TiO2掺杂的SiO2;所述反射结构包括多个Mo/Si膜对或多个Mo/Be膜对;以及所述覆盖层含有硅。
根据本发明的另一些实施例,提供了一种晶圆制造工艺,包括:在衬底上方形成材料层;在所述材料层上方形成光刻胶层;以及在光刻工艺中使用极紫外(UV)掩模图案化所述光刻胶层,其中,所述UV掩模包括:衬底,含有低热膨胀材料(LTEM);反射结构,设置在所述衬底上方;覆盖层,设置在所述反射结构上方;和吸收层,设置在所述覆盖层上方,其中,所述吸收层含有具有在从约0.95至约1.01的范围内的折射率和大于约0.03的消光系数的材料。
在上述晶圆制造工艺中,还包括:通过照明器将EUV辐射导向至所述EUV掩模,其中,所述照明器含有偶极照明组件。
在上述晶圆制造工艺中,所述光刻胶层的图案化包括:将所述光刻胶层曝光于EUV辐射和之后显影所述曝光的光刻胶层以形成图案化的光刻胶部件。
在上述晶圆制造工艺中,LTEM衬底包含有TiO2掺杂的SiO2;所述反射结构包括多个Mo/Si膜对或多个Mo/Be膜对;以及所述覆盖层含有硅。
在上述晶圆制造工艺中,还包括:形成设置在所述覆盖层和所述吸收层之间的缓冲层,其中,所述缓冲层和所述吸收层具有不同的蚀刻特性。
在上述晶圆制造工艺中,所述吸收层的材料的折射率在从0.975至1的范围内。
在上述晶圆制造工艺中,所述吸收层的材料的折射率在从0.985至0.995的范围内。
在上述晶圆制造工艺中,所述吸收层的消光系数在从0.4至0.54的范围内。
在上述晶圆制造工艺中,所述吸收层的材料包括镭、Al、Te、Cu或Ge之一。
根据本发明的又一些实施例,提供了一种制造光刻掩模的方法,包括:在低热膨胀材料(LTEM)衬底上方形成反射结构;在所述反射结构上方形成覆盖层;以及在所述覆盖层上方形成吸收层,其中,所述吸收层含有具有在从约0.95至约1.01的范围内的折射率和大于约0.03的消光系数的材料。
在上述方法中,形成所述吸收层实施为使得:所述吸收层的材料的折射率在从0.985至0.995的范围内;并且所述吸收层的消光系数在从0.4至0.54的范围内。
在上述方法中,形成所述吸收层实施为使得:所述吸收层的材料包括镭、Al、Te、Cu或Ge之一。
上面概述了若干实施例的部件、使得本领域技术人员可以更好地理解本发明的各个方面。本领域普通技术人员应该理解、他们可以容易地使用本发明作为基础来设计或修改用于实现与在此所介绍实施例相同的目的和/或实现相同优点的其他处理和结构。本领域技术人员也应该意识到、这种等效构造并不背离本发明的精神和范围、并且在不背离本发明的精神和范围的情况下、可以进行多种变化、替换以及改变。

Claims (18)

1.一种光刻掩模,包括:
衬底,含有低热膨胀材料;
反射结构,设置在所述衬底上方;
覆盖层,设置在所述反射结构上方;以及
吸收层,设置在所述覆盖层上方,其中,在极紫外光的波长范围下,所述吸收层含有具有在从0.95至1.01的范围内的折射率和在从0.4至0.54的范围内的消光系数的材料。
2.根据权利要求1所述的光刻掩模,其中,所述吸收层的材料的折射率在从0.975至1的范围内。
3.根据权利要求2所述的光刻掩模,其中,所述吸收层的材料的折射率在从0.985至0.995的范围内。
4.根据权利要求1所述的光刻掩模,其中,所述吸收层的材料包括镭、Al、Te、Cu或Ge之一。
5.根据权利要求1所述的光刻掩模,还包括:缓冲层,设置在所述覆盖层和所述吸收层之间,其中,所述缓冲层和所述吸收层具有不同的蚀刻特性。
6.根据权利要求1所述的光刻掩模,其中,所述反射结构配置为提供对预定辐射波长的高反射率,其中,所述预定辐射波长包括在极紫外光范围内的波长。
7.根据权利要求1所述的光刻掩模,其中:
所述低热膨胀材料包括TiO2掺杂的SiO2
所述反射结构包括多个Mo/Si膜对或多个Mo/Be膜对;以及
所述覆盖层含有硅。
8.一种晶圆制造工艺,包括:
在衬底上方形成材料层;
在所述材料层上方形成光刻胶层;以及
在光刻工艺中使用极紫外掩模图案化所述光刻胶层,其中,所述极紫外掩模包括:
衬底,含有低热膨胀材料;
反射结构,设置在所述衬底上方;
覆盖层,设置在所述反射结构上方;和
吸收层,设置在所述覆盖层上方,其中,在极紫外光的波长范围下,所述吸收层含有具有在从0.95至1.01的范围内的折射率和在从0.4至0.54的范围内的消光系数的材料。
9.根据权利要求8所述的晶圆制造工艺,还包括:通过照明器将极紫外辐射导向至所述极紫外掩模,其中,所述照明器含有偶极照明组件。
10.根据权利要求8所述的晶圆制造工艺,其中,所述光刻胶层的图案化包括:将所述光刻胶层曝光于极紫外辐射和之后显影所述曝光的光刻胶层以形成图案化的光刻胶部件。
11.根据权利要求8所述的晶圆制造工艺,其中:
低热膨胀材料衬底包含有TiO2掺杂的SiO2
所述反射结构包括多个Mo/Si膜对或多个Mo/Be膜对;以及
所述覆盖层含有硅。
12.根据权利要求8所述的晶圆制造工艺,还包括:
形成设置在所述覆盖层和所述吸收层之间的缓冲层,其中,所述缓冲层和所述吸收层具有不同的蚀刻特性。
13.根据权利要求8所述的晶圆制造工艺,其中,所述吸收层的材料的折射率在从0.975至1的范围内。
14.根据权利要求13所述的晶圆制造工艺,其中,所述吸收层的材料的折射率在从0.985至0.995的范围内。
15.根据权利要求8所述的晶圆制造工艺,其中,所述吸收层的材料包括镭、Al、Te、Cu或Ge之一。
16.一种制造光刻掩模的方法,包括:
在低热膨胀材料衬底上方形成反射结构;
在所述反射结构上方形成覆盖层;以及
在所述覆盖层上方形成吸收层,其中,在极紫外光的波长范围下,所述吸收层含有具有在从0.95至1.01的范围内的折射率和在从0.4至0.54的范围内的消光系数的材料。
17.根据权利要求16所述的方法,其中,形成所述吸收层实施为使得:
所述吸收层的材料的折射率在从0.985至0.995的范围内。
18.根据权利要求17所述的方法,其中,形成所述吸收层实施为使得:所述吸收层的材料包括镭、Al、Te、Cu或Ge之一。
CN201510843839.6A 2014-11-26 2015-11-26 Euv掩模和通过使用euv掩模的制造方法 Active CN105629657B (zh)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201462084608P 2014-11-26 2014-11-26
US62/084,608 2014-11-26
US14/736,669 2015-06-11
US14/736,669 US9709884B2 (en) 2014-11-26 2015-06-11 EUV mask and manufacturing method by using the same

Publications (2)

Publication Number Publication Date
CN105629657A CN105629657A (zh) 2016-06-01
CN105629657B true CN105629657B (zh) 2019-11-22

Family

ID=56010082

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201510843839.6A Active CN105629657B (zh) 2014-11-26 2015-11-26 Euv掩模和通过使用euv掩模的制造方法

Country Status (5)

Country Link
US (1) US9709884B2 (zh)
KR (1) KR101917413B1 (zh)
CN (1) CN105629657B (zh)
DE (1) DE102015110459B4 (zh)
TW (1) TWI592737B (zh)

Families Citing this family (44)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20180034453A (ko) * 2015-06-30 2018-04-04 수프리야 자이스왈 극자외선 및 연질 x선 광학소자용의 코팅
EP3223063A1 (en) 2016-03-24 2017-09-27 Thomson Licensing Device for forming a field intensity pattern in the near zone, from incident electromagnetic waves
TWI763686B (zh) * 2016-07-27 2022-05-11 美商應用材料股份有限公司 具有合金吸收劑的極紫外線遮罩坯料、製造極紫外線遮罩坯料的方法以及極紫外線遮罩坯料生產系統
TWI774375B (zh) * 2016-07-27 2022-08-11 美商應用材料股份有限公司 具多層吸收劑的極紫外遮罩坯料及製造方法
EP3312660A1 (en) 2016-10-21 2018-04-25 Thomson Licensing Device for forming at least one tilted focused beam in the near zone, from incident electromagnetic waves
EP3312646A1 (en) 2016-10-21 2018-04-25 Thomson Licensing Device and method for shielding at least one sub-wavelength-scale object from an incident electromagnetic wave
EP3312674A1 (en) 2016-10-21 2018-04-25 Thomson Licensing A photolithography device for generating pattern on a photoresist substrate
KR20180057813A (ko) 2016-11-22 2018-05-31 삼성전자주식회사 극자외선 리소그래피용 위상 반전 마스크
US10514597B2 (en) * 2016-11-30 2019-12-24 Taiwan Semiconductor Manufacturing Co., Ltd. Lithography mask with both transmission-type and reflective-type overlay marks and method of fabricating the same
EP3385219B1 (en) 2017-04-07 2021-07-14 InterDigital CE Patent Holdings Method for manufacturing a device for forming at least one focused beam in a near zone
US10802394B2 (en) 2017-11-21 2020-10-13 Taiwan Semiconductor Manufacturing Co., Ltd. Method for discharging static charges on reticle
KR102374206B1 (ko) 2017-12-05 2022-03-14 삼성전자주식회사 반도체 장치 제조 방법
US10866504B2 (en) * 2017-12-22 2020-12-15 Taiwan Semiconductor Manufacturing Co., Ltd. Lithography mask with a black border region and method of fabricating the same
TW202026770A (zh) 2018-10-26 2020-07-16 美商應用材料股份有限公司 用於極紫外線掩模吸收劑的ta-cu合金材料
TW202028495A (zh) 2018-12-21 2020-08-01 美商應用材料股份有限公司 極紫外線遮罩吸收器及用於製造的方法
US11249390B2 (en) 2019-01-31 2022-02-15 Applied Materials, Inc. Extreme ultraviolet mask absorber materials
TWI828843B (zh) 2019-01-31 2024-01-11 美商應用材料股份有限公司 極紫外線(euv)遮罩素材及其製造方法
TW202035792A (zh) 2019-01-31 2020-10-01 美商應用材料股份有限公司 極紫外光遮罩吸收體材料
TW202043905A (zh) 2019-03-01 2020-12-01 美商應用材料股份有限公司 物理氣相沉積系統與處理
TWI818151B (zh) 2019-03-01 2023-10-11 美商應用材料股份有限公司 物理氣相沉積腔室及其操作方法
US11639544B2 (en) 2019-03-01 2023-05-02 Applied Materials, Inc. Physical vapor deposition system and processes
US11275303B2 (en) 2019-05-22 2022-03-15 Applied Materials Inc. Extreme ultraviolet mask absorber matertals
TW202104667A (zh) 2019-05-22 2021-02-01 美商應用材料股份有限公司 極紫外光遮罩吸收材料
TW202111420A (zh) 2019-05-22 2021-03-16 美商應用材料股份有限公司 極紫外光遮罩吸收材料
US11366379B2 (en) 2019-05-22 2022-06-21 Applied Materials Inc. Extreme ultraviolet mask with embedded absorber layer
TW202104666A (zh) 2019-05-22 2021-02-01 美商應用材料股份有限公司 極紫外光遮罩吸收劑材料
TW202104668A (zh) * 2019-05-22 2021-02-01 美商應用材料股份有限公司 極紫外光遮罩吸收劑材料
US11385536B2 (en) 2019-08-08 2022-07-12 Applied Materials, Inc. EUV mask blanks and methods of manufacture
US11630385B2 (en) 2020-01-24 2023-04-18 Applied Materials, Inc. Extreme ultraviolet mask absorber materials
TW202131087A (zh) 2020-01-27 2021-08-16 美商應用材料股份有限公司 極紫外光遮罩吸收劑材料
TW202129401A (zh) 2020-01-27 2021-08-01 美商應用材料股份有限公司 極紫外線遮罩坯體硬遮罩材料
TWI817073B (zh) 2020-01-27 2023-10-01 美商應用材料股份有限公司 極紫外光遮罩坯體硬遮罩材料
TW202141165A (zh) 2020-03-27 2021-11-01 美商應用材料股份有限公司 極紫外光遮罩吸收材料
US11644741B2 (en) 2020-04-17 2023-05-09 Applied Materials, Inc. Extreme ultraviolet mask absorber materials
TWI776398B (zh) * 2020-04-23 2022-09-01 台灣積體電路製造股份有限公司 光罩的形成方法
US11300871B2 (en) 2020-04-29 2022-04-12 Applied Materials, Inc. Extreme ultraviolet mask absorber materials
EP3929555A1 (en) * 2020-06-24 2021-12-29 ASML Netherlands B.V. Transmissive diffraction grating
TW202202641A (zh) 2020-07-13 2022-01-16 美商應用材料股份有限公司 極紫外線遮罩吸收劑材料
US11402743B2 (en) 2020-08-31 2022-08-02 Taiwan Semiconductor Manufacturing Co., Ltd. Mask defect prevention
US11609490B2 (en) 2020-10-06 2023-03-21 Applied Materials, Inc. Extreme ultraviolet mask absorber materials
US11513437B2 (en) 2021-01-11 2022-11-29 Applied Materials, Inc. Extreme ultraviolet mask absorber materials
US11592738B2 (en) 2021-01-28 2023-02-28 Applied Materials, Inc. Extreme ultraviolet mask absorber materials
US11815803B2 (en) 2021-08-30 2023-11-14 Applied Materials, Inc. Multilayer extreme ultraviolet reflector materials
US11782337B2 (en) 2021-09-09 2023-10-10 Applied Materials, Inc. Multilayer extreme ultraviolet reflectors

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN1653392A (zh) * 2002-01-24 2005-08-10 杜邦光掩公司 光掩模及其制备方法
CN1678962A (zh) * 2002-08-27 2005-10-05 美商福昌公司 有中间检查薄膜层的改进的光学掩模
CN101308331A (zh) * 2007-05-16 2008-11-19 上海华虹Nec电子有限公司 利用可显影填充材料的两次图形曝光方法
CN106154735A (zh) * 2014-10-31 2016-11-23 台湾积体电路制造股份有限公司 具有抑制带外辐射的ito吸收件的euv掩模

Family Cites Families (28)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6641959B2 (en) 2001-08-09 2003-11-04 Intel Corporation Absorberless phase-shifting mask for EUV
US6607862B2 (en) 2001-08-24 2003-08-19 Intel Corporation Damascene extreme ultraviolet lithography alternative phase shift photomask and method of making
US20060222961A1 (en) 2005-03-31 2006-10-05 Pei-Yang Yan Leaky absorber for extreme ultraviolet mask
KR20070036519A (ko) 2005-09-29 2007-04-03 주식회사 하이닉스반도체 반사형 마스크
DE102007023034B4 (de) 2007-02-23 2010-11-04 Advanced Mask Technology Center Gmbh & Co. Kg Dummy-Rohling und Verfahren zum Bestimmen einer Rohlingschicht
JP4861963B2 (ja) 2007-10-18 2012-01-25 信越化学工業株式会社 ペリクルおよびペリクルの製造方法
JP5335351B2 (ja) 2008-10-01 2013-11-06 Hoya株式会社 マスクブランク用基板セット、マスクブランクセット、フォトマスクセット、及び半導体デバイスの製造方法
JP5638769B2 (ja) * 2009-02-04 2014-12-10 Hoya株式会社 反射型マスクブランクの製造方法及び反射型マスクの製造方法
JP5394808B2 (ja) 2009-04-22 2014-01-22 信越化学工業株式会社 リソグラフィ用ペリクルおよびその製造方法
CN102947759B (zh) 2010-06-15 2016-03-02 卡尔蔡司Smt有限责任公司 用于euv光刻的掩模、euv光刻系统和用于优化掩模的成像的方法
US8764995B2 (en) 2010-08-17 2014-07-01 Taiwan Semiconductor Manufacturing Company, Ltd. Extreme ultraviolet light (EUV) photomasks, and fabrication methods thereof
JP5411200B2 (ja) 2011-04-26 2014-02-12 信越化学工業株式会社 リソグラフィ用ペリクル
US8586267B2 (en) 2011-09-12 2013-11-19 Samsung Austin Semiconductor, L.P. Removable transparent membrane for a pellicle
US8691476B2 (en) 2011-12-16 2014-04-08 Taiwan Semiconductor Manufacturing Company, Ltd. EUV mask and method for forming the same
US8715890B2 (en) 2012-01-31 2014-05-06 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor mask blanks with a compatible stop layer
US8709682B2 (en) 2012-02-08 2014-04-29 Taiwan Semiconductor Manufacturing Company, Ltd. Mask and method for forming the mask
US8841047B2 (en) 2012-04-02 2014-09-23 Taiwan Semiconductor Manufacturing Company, Ltd. Extreme ultraviolet lithography process and mask
US8628897B1 (en) 2012-07-05 2014-01-14 Taiwan Semiconductor Manufacturing Company, Ltd. Extreme ultraviolet lithography process and mask
US8877409B2 (en) 2012-04-20 2014-11-04 Taiwan Semiconductor Manufacturing Company, Ltd. Reflective mask and method of making same
US8722286B2 (en) 2012-05-31 2014-05-13 Taiwan Semiconductor Manufacturing Company, Ltd. Devices and methods for improved reflective electron beam lithography
US8663878B2 (en) * 2012-07-05 2014-03-04 Taiwan Semiconductor Manufacturing Company, Ltd. Mask and method for forming the same
US8679707B2 (en) 2012-08-01 2014-03-25 Taiwan Semiconductor Manufacturing Company, Ltd. Method of fabricating a lithography mask
US8765330B2 (en) 2012-08-01 2014-07-01 Taiwan Semiconductor Manufacturing Company, Ltd. Phase shift mask for extreme ultraviolet lithography and method of fabricating same
US8828625B2 (en) 2012-08-06 2014-09-09 Taiwan Semiconductor Manufacturing Company, Ltd. Extreme ultraviolet lithography mask and multilayer deposition method for fabricating same
US8785084B2 (en) 2012-09-04 2014-07-22 Taiwan Semiconductor Manufacturing Company, Ltd. Method for mask fabrication and repair
US8765582B2 (en) 2012-09-04 2014-07-01 Taiwan Semiconductor Manufacturing Company, Ltd. Method for extreme ultraviolet electrostatic chuck with reduced clamp effect
US8753788B1 (en) 2013-01-02 2014-06-17 Taiwan Semiconductor Manufacturing Company, Ltd. Apparatus of repairing a mask and a method for the same
US9046781B2 (en) 2013-03-15 2015-06-02 Taiwan Semiconductor Manufacturing Company, Ltd. Structure and method for reflective-type mask

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN1653392A (zh) * 2002-01-24 2005-08-10 杜邦光掩公司 光掩模及其制备方法
CN1678962A (zh) * 2002-08-27 2005-10-05 美商福昌公司 有中间检查薄膜层的改进的光学掩模
CN101308331A (zh) * 2007-05-16 2008-11-19 上海华虹Nec电子有限公司 利用可显影填充材料的两次图形曝光方法
CN106154735A (zh) * 2014-10-31 2016-11-23 台湾积体电路制造股份有限公司 具有抑制带外辐射的ito吸收件的euv掩模

Also Published As

Publication number Publication date
CN105629657A (zh) 2016-06-01
US9709884B2 (en) 2017-07-18
KR101917413B1 (ko) 2018-11-09
KR20160063231A (ko) 2016-06-03
TW201619688A (zh) 2016-06-01
DE102015110459A1 (de) 2016-06-02
TWI592737B (zh) 2017-07-21
US20160147138A1 (en) 2016-05-26
DE102015110459B4 (de) 2022-03-03

Similar Documents

Publication Publication Date Title
CN105629657B (zh) Euv掩模和通过使用euv掩模的制造方法
CN105629656B (zh) 用于euv掩模的薄膜及其制造方法
US11852966B2 (en) Lithography mask with a black border regions and method of fabricating the same
KR101722821B1 (ko) Id 패턴의 결함이 프린트될 가능성을 완화시키는 방법
US9529250B2 (en) EUV mask with ITO absorber to suppress out of band radiation
US10691017B2 (en) Pellicle for advanced lithography
US20230384663A1 (en) EUV Lithography Mask With A Porous Reflective Multilayer Structure
KR101690373B1 (ko) 향상된 결함 복원성을 갖는 집적 회로를 제조하는 방법
US20170017147A1 (en) Mask with multilayer structure and manufacturing method by using the same

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant