CN105551970B - 用于形成接触通孔的方法 - Google Patents

用于形成接触通孔的方法 Download PDF

Info

Publication number
CN105551970B
CN105551970B CN201510690193.2A CN201510690193A CN105551970B CN 105551970 B CN105551970 B CN 105551970B CN 201510690193 A CN201510690193 A CN 201510690193A CN 105551970 B CN105551970 B CN 105551970B
Authority
CN
China
Prior art keywords
layer
openings
hard mask
providing
dielectric layer
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
CN201510690193.2A
Other languages
English (en)
Other versions
CN105551970A (zh
Inventor
B·T·陈
S·萨严
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Interuniversitair Microelektronica Centrum vzw IMEC
Original Assignee
Interuniversitair Microelektronica Centrum vzw IMEC
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Interuniversitair Microelektronica Centrum vzw IMEC filed Critical Interuniversitair Microelektronica Centrum vzw IMEC
Publication of CN105551970A publication Critical patent/CN105551970A/zh
Application granted granted Critical
Publication of CN105551970B publication Critical patent/CN105551970B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/48Manufacture or treatment of parts, e.g. containers, prior to assembly of the devices, using processes not provided for in a single one of the subgroups H01L21/06 - H01L21/326
    • H01L21/4814Conductive parts
    • H01L21/4846Leads on or in insulating or insulated substrates, e.g. metallisation
    • H01L21/486Via connections through the substrate with or without pins
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76816Aspects relating to the layout of the pattern or to the size of vias or trenches
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/31051Planarisation of the insulating layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Ceramic Engineering (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Abstract

描述一种用于形成接触通孔的方法,所述方法包括:‑提供基材,所述基材包含包埋于第一介电层中的多个接触件结构,所述接触件毗连所述第一介电层的上表面;‑在所述第一介电层的所述上表面上提供第二介电层;‑通过至少在对应于所述接触结构的位置处使所述第二介电层图案化来提供所述第二介电层中的接触通孔;其中使所述第二介电层图案化包括:使用DSA图案化技术。

Description

用于形成接触通孔的方法
技术领域
本发明涉及一种形成接触通孔的方法,所述接触通孔用于与半导体装置中的接触件结构相接触。
背景技术
定向自组装(DSA)已经成为未来技术节点的高级图案化溶液的一项重要的研究主题,尤其是接触应用。控制接触孔的图案位置精度是很重要的,其应与目前和未来的CMOS集成过程兼容。
本领域中建议的方案是采用模板DSA工艺,由此采用模板层来确定开口,在所述开口中施加嵌段共聚物(BCP),且其上施用所述DSA工艺。
从而,接触孔图案位置的精确性很大程度上取决于对所涉及的DSA工艺的控制。
当这些结构经DSA图案化时,需要用于提高接触孔或通孔图案位置精确性的方法。
发明内容
本发明的一个目的在于提供一种用于形成接触通孔的方法,所述接触通孔具有提高的图案位置精确性。
根据本发明,使用显示第一独立权利要求的技术特性的方法实现该目的。
公开了一种形成接触通孔(contact vias)(也称为通孔(through hole))的方法,所述方法包括
-提供基材,所述基材包含包埋于第一介电层中的多个接触件结构,所述接触件毗连第一介电层的上表面;
-在第一介电层的上表面上提供第二介电层;
-通过至少在对应于所述接触件结构的位置处使第二介电层图案化来提供第二介电层中的接触通孔;
其中,所述方法包括
-在第二介电层顶部提供硬掩模层;
-使所述硬掩模层图案化,由此移除所述硬掩模层的一部分,从而在第二介电层中不需要通孔的位置处保留所述硬掩模层的至少上部;
-如果硬掩模层被完全移除和硬掩模层被完全移除的位置,则在图案化的硬掩模层的顶部和第二介电层上提供图案化的平坦化模板层;所述图案化的模板层包含一组开口,这组开口均匀地分布在所述模板层内,这组开口包含限定数量的相同尺寸的开口的亚组,优选由限定数量的相同尺寸的开口的亚组构成,并且,所述开口中至少一些对应于(例如包含或围住)所述接触件结构的位置;
-进行DSA工艺,包括:在所述模板层的所有开口中提供预先确定的嵌段共聚物(BCP)材料,诱导所述开口中的BCP的聚合物分离,和移除所述开口中BCP的一个构成部分;所述图案化的模板层和BCP的第二个构成部分一起确定包含DSA开口的图案,其优选均匀地分布于硬掩模层和第二介电层上,并且所述DSA开口定位在对应于所述接触件结构的位置处;和
-采用至少第二DSA构成部分(和,例如,以及所述模板层和/或所述硬掩模层的部分)作为掩模,蚀刻第二介电层中的接触通孔。
所述多个接触件结构可以是/优选是第一介电层中存在的所有接触件结构的预先确定的亚组。事实上,并非所有接触件结构均需要被接触。
相同尺寸的开口是具有基本相同的尺度或体积的开口。优选地,相同尺寸的开口具有相同的尺度,例如,具有相同的深度、宽度和长度。忽略不计因生产制造工艺所致的小的差异时,所述开口优选具有相同的尺度。
根据优选的实施方式,由模板层中的开口确定的图案具有均一的或基本均一的图案密度。所述图案密度可被认为是开放面积(由开口确定)与模板层中的单位面积的比。优选地,均一的或或基本均一的图案密度的值对于特定的工艺/BCP系统而言是最优化的/预先确定的。
本发明的一个优点在于,通过提供在模板层中均匀分布的模板层开口(这组开口包括在整个晶片上的限制数量的相同尺寸的开口的亚组,优选由在整个晶片的限制数量的相同尺寸的开口的亚组组成),在所有开口中呈现非常相似的BCP材料的填充。在请求保护的工艺流程中,这最终导致改善的图案位置精确性。通过在第二介电层中不需要通孔的位置处保留(即使其维持)硬掩模层的至少上部(例如单一硬掩模层的一部分,或者在硬掩模层被双层结构包埋的情况中,上层的一部分),将封闭任何“不需要的”通孔图案转移。
硬掩模层(或,双层结构情况中的上层)优选足够薄,从而不影响BCP的均一填充。例如,优选但不限于,所述硬掩模层的厚度可以在2nm-15nm范围内。例如,优选但不限于,所述单一硬掩模层的厚度可以在2nm-15nm范围内。例如,优选但不限于,在双层结构的情况中,上层的厚度可以在2nm-15nm范围内。
根据优选的实施方式,在模板层的所有开口中提供嵌段共聚物(BCP)的操作包括:不过量填充所述开口。优选地,其包括填充所述开口至如下程度:填充至体积或高度的约50%-100%,更优选地,约50%-60%,更优选地,体积或高度的约50%-60%。在另一个视图中,所述孔优选被填充直至如下范围内的水平:开口高度的50%-100%,更优选在开口高度的50%-80%范围内,甚至更优选在开口高度的50%-60%范围内。优选地,所有孔被填充直至大约相同的水平。
根据优选的实施方式,具有相同尺寸的开口的亚组的限定数量是1或2。
根据优选的实施方式,所有开口具有相同尺寸(即,仅有一个亚组的具有相同尺寸的开口),并且所述开口根据常规栅格图案排列。
根据优选的实施方式,所述开口以相同的方向取向。
根据优选的实施方式,至少一个开口以不同的方向取向。
根据优选的实施方式,开口的亚组包含:对于预先确定的BCP材料,基于该BCP材料的预先确定的自然周期性,具有适于在BCP中形成一孔、二孔或三孔结构的尺度的开口。
根据优选的实施方式,只有两个亚组的具有相同尺寸的开口,第一亚组和第二亚组的开口的相应尺寸是预先确定的,从而对于所用的预先确定的BCP材料而言,在开口中分别形成两个通孔和三个通孔。
根据优选的实施方式,在沿与所述基材的主要前表面平行的平面中,所述模板层中的开口的截面是矩形的或正方形的。
根据优选的实施方式,在第二介电层顶部上提供硬掩模层的操作包括:提供金属材料的单一层作为硬掩模层,并紧随其后进行所述硬掩模层的图案化。
根据优选的实施方式,在第二介电层顶部上提供硬掩模层的操作包括:提供双层结构,该双层结构包含第一层金属材料(有时称作包埋的硬掩模层),和直接位于第一层金属材料的顶部之上的第二层介电材料(有时称作切块层(cut block layer)),并且,所述方法还包括:紧随其后,通过对第一层金属材料具有选择性的光刻处理仅使第二层介电材料图案化。
根据优选的实施方式,使硬掩模层图案化的操作包括:仅在确定DSA开口之后,在蚀刻第二介电层中的接触通孔之前或同时,使第一层金属材料图案化。
根据优选的实施方式,该方法包括:
-在使单一硬掩模层图案化之后或仅仅使所述硬掩模层的第二层介电层图案化之后,在所述基材上、在所述图案化的硬掩模层的顶部和所述第二介电层上提供平坦化层或层堆叠体;和
-通过光刻处理使所述平坦化层或层堆叠体图案化,从而确定图案化的模板层。
附图说明
通过以下说明书和附图进一步描述本发明。
图1(a)至1(j)说明了根据本发明的第一个优选实施方式的工艺流程。
图2(a)至1(i)说明了根据本发明的第二个优选实施方式的工艺流程。
图3(a)和3(b)说明了根据本发明第三个优选实施方式的工艺流程。
图4(a)至4(c)说明了根据本发明实施方式的模板层开口的排列。
图5(a)和(b)说明了根据本发明的优选实施方式,通过DSA工艺在模板开口中形成的通孔(vias)或通孔(through hole)的形成。
优选实施方式的详述
将就具体实施方式并参照某些附图对本发明进行描述,但本发明并不受此限制,仅由权利要求书限定。描述的附图仅是说明性的且是非限制性的。在附图中,一些元素的尺寸可能被夸大且未按尺度绘画以用于说明目的。各尺寸和相对尺寸不必然对应于实践本发明的实际简化。
另外,在说明书以及权利要求书中,术语“第一”、“第二”和“第三”等仅仅是用来区别类似的元件,而不是用来描述次序或时间顺序。在适当的情况下,这些术语可互换,且本发明的实施方式可以如本文所述和所示以外的其它顺序操作。
此外,在说明书和权利要求书中,术语顶、底、之上、之下等用于描述目的,而不一定用于描述相对位置。如此使用的术语在适当条件下是可互换的且本发明的实施方式可以不同于本文所描述或显示的取向进行。
此外,虽然被称为“优选的”,但应将多个实施方式理解为可以实施本发明的示例性方式,而不应理解为限制本发明的范围。
图1(a)-1(i)说明了根据本发明的第一个优选实施方式的工艺流程。
提供基材,所述基材包含包埋于第一介电层41中的多个接触件结构5(例如,钨塞(Tungsten plug)),所述接触部分毗连第一介电层的上表面41。在第一介电层41的上表面上提供第二介电层42。第一和第二介电层能够,例如,各自包含SiO2、SOC、SiO2/Si3N4、其它介电或平坦化堆叠体,或由SiO2、SOC、SiO2/Si3N4、其它介电或平坦化堆叠体组成。在顶部提供金属硬掩模牺牲层(硬掩模层)2、3。此处,该硬掩模层是双层结构:其包含下层,金属硬掩模3,其包含例如TiN(或AlN、旋涂TiO2、WO、ZrO等),和上层,介电硬掩模2,其包含例如Si3N4(或SiO2、SiON、SiOC等),所述上层2相对于第一层3能够选择性地移除。在顶部提供光刻胶(PR)层1,并施用光刻步骤,以使所述光刻胶层图案化。采用PR层来使所述硬掩模层的至少上层2图案化,所述后者在不需要通孔的第二介电层42的区域中(例如,之上或上方)保留(图1(a)和1(b))。例如,可采用等离子体蚀刻来打开Si3N4,其对TiN具有选择性。移除保留的光刻胶层1(图1(c))。在图案化的硬掩模层2、3顶部提供:平坦化模板层6,例如包含(旋涂-玻璃(SoG)的层和/或旋涂碳(SoC)层;此处是两者的组合,其具有位于堆叠体首位/较低位置的SoG层)。模板层6通过另一(光刻胶层1和a)光刻步骤图案化,随后,例如,在SoG/SoC模板层中进行等离子体蚀刻,止于Si3N4和TiN硬掩模层。使模板层图案化,以包含一组开口,所述开口均匀地分布在模板层6(图1(d),图1(e))中。模板层6中的这组开口优选包含限定数量的具有相同尺寸的开口的亚组,更优选地,由限定数量的具有相同尺寸的开口的亚组组成。在该情况中,存在例如单一组的开口,其均具有相同的尺寸,但以两个亚组的开口取向,这两个亚组其彼此正交取向。所述模板开口中的至少一些对应于,例如,封罩和/或合适地与需要被接触的接触结构5的位置对齐。优选在需要被接触的接触件结构5的所有位置提供模板开口。其它开口,即不对应于需要被接触的接触件结构5的位置的开口,作为拟开口(dummy opening)。所述开口均匀地分布在整个基材/晶片上,以允许所述开口在所述基材表面上的均一填充特性。这允许用BCP材料(例如PS-PMMA或高-Χ(χ)BCP系统,三嵌段BCP)良好地填充所有开口,而不造成它们(中的一些)被过量填充或填充不足(图1(f))。参数X(χ)是技术人员已知的相互作用参数,其描述两种共聚物嵌段彼此之间的吸引/排斥程度。χ与BCP材料(L0)的自然周期性成反比。所以,χ值越高,嵌段共聚物的距离/间距越小。例如,PS-嵌段-PMMA的χ-值是0.035。
优选地,当(例如通过旋涂技术)施用BCP材料时,还应考虑模板孔及其总计累积体积的图案密度。进行合适的退火步骤以诱导聚合物分离成模板开口中的中心柱部分71(例如1个、2个或3个,取决于开口的尺寸和BCP材料的自然周期性)和BCP的环绕或包埋部分72(图1(g))。BCP的形成柱结构71的构成部分被移除(例如PMMA构成部分;通过例如湿蚀刻或干蚀刻移除),保留模板开口中的第二构成部分72(PS)(图1(h))。图案化的模板层6和BCP的第二构成部分72一起确定包含DSA开口的图案,并且DSA开口被定位,或至少定位在对应于待被接触的接触件结构的位置处。然后,采用至少第二DSA构成部分72作为掩模(图1(j)),打开硬掩模层(图1(i))并在第二介电层中提供接触通孔40。这可在单一或两个或更多个步骤中进行。例如,这可包括等离子体蚀刻进入金属硬掩模或/和直接进入第二介电层。然后,可用接触金属,例如铜(其可以是例如电镀的)来填充形成的通孔。可施用CMP步骤以移除过量的铜,并同时移除TiN牺牲层。
图2(a)-2(i)说明了根据本发明的第二个优选实施方式的工艺流程。
提供基材,所述基材包含包埋于第一介电层41中的多个接触件结构5(例如,钨塞(Tungsten plug)或MOA),所述接触部分毗连第一介电层41的上表面。在第一介电层41的上表面上提供第二介电层42。第一和第二介电层能够,例如,各自包含SiO2、SOC、SiO2/Si3N4或其它介电或平坦化堆叠体,或由SiO2、SOC、SiO2/Si3N4或其它介电或平坦化堆叠体组成。优选地,“平坦化”材料或层或层堆叠体可以是旋涂的,由此使晶片上的任何形貌平坦化。在顶部之上提供金属硬掩模牺牲层(硬掩模层)3。此处,硬掩模层3是单一金属硬掩模,并且可包含例如TiN(或AlN,旋涂TiO2、WO、ZrO等)。在顶部之上提供光刻胶层1,并施用光刻步骤,以使所述光刻胶层图案化。光刻胶层1用于使硬掩模层3图案化,所述后者保留在不需要通孔的第二介电层42的区域内(图2(a)和2(b))。然后移除保留的光刻胶层1(图2(c))。在图案化的硬金属掩模层3顶部提供:平坦化模板层6,例如包含(旋涂-玻璃(SoG)的层和/或旋涂碳(SoC)层;优选是两者的组合,其具有位于堆叠体首位/较低位置的SoG层)。模板层6通过另一(光刻胶层1和a)光刻步骤图案化,随后,在SoG/SoC模板层中进行等离子体蚀刻,止于硬掩模层3。优选地,采用氟气化学品来蚀刻SoG。SoC材料能够,例如用N2/H2化学品或Ar/O2化学品蚀刻。使模板层图案化,以包含一组开口,所述开口均匀地分布在模板层6中(图2(d),图2(e))。模板层6中的这组开口优选包含限定数量的具有相同尺寸的开口的亚组,更优选地,由限定数量的具有相同尺寸的开口的亚组组成。在该情况中,存在例如单一组的开口,其均具有相同的尺寸,但以两个亚组的开口取向,这两个亚组彼此正交取向。所述模板开口中的至少一些对应于,例如,封罩和/或合适地与需要被接触的接触结构5的位置对齐。优选在需要被接触的接触件结构5的所有位置提供模板开口。其它开口,即不对应于需要被接触的接触件结构5的位置的开口,作为拟开口(dummy opening)。所述开口均匀地分布在整个基材/晶片上,以允许所述开口在所述基材表面上的均一填充特性。这允许用BCP材料(例如PS-PMMA或高-Χ(χ)BCP体系)良好地填充所有开口,而不造成它们(中的一些)被过量填充或填充不足(图2(f))。优选地,当(例如通过旋涂技术)施用BCP材料时,也应考虑模板孔及其总计累积体积的图案密度。进行合适的退火步骤以诱导聚合物分离成模板开口中的中心柱部分71(例如1个、2个或3个,取决于开口的尺寸和BCP材料的自然周期性)和BCP的环绕或包埋部分72(图2(g))。BCP的柱形成构成部分72被移除(例如PMMA组分;通过例如湿蚀刻或干蚀刻移除),保留模板开口中的第二构成部分72(PS)(图2(h))。图案化的模板层6、BCP的第二构成部分72,和图案化的硬掩模层3一起确定仅包含DSA开口的图案。DSA开口中的一些定位在对应于待被接触的接触件结构的位置处。在金属硬掩模3的上方提供拟类型的其它DSA开口。然后,采用至少第二DSA构成部分作为掩模(例如联合模板层6和硬掩模层3),在第二介电层中提供接触通孔40(图2(i))。在硬掩模层3仍然存在的区域中,无法发生通孔蚀刻,因为其被硬掩模层3阻挡。
然后,可用接触金属,例如铜(其可以是例如电镀的)来填充形成的通孔。可施用CMP步骤以移除过量的铜,并同时移除保留的图案化的硬掩模层3。
采用根据第一实施方式的方法还是根据第二实施方式的方法的选择,可取决于将模板化的DSA接触孔转移进入由100nm厚的SiO2和通常约15nm厚的 Si3N4层组成的典型堆叠体的蚀刻能力。如果模板化的DSA接触孔过薄而无法蚀刻进入SiO2/Si3N4,则相信采用金属硬掩模能够增加对于接触孔图案转移的蚀刻选择性。应注意,事实上,在根据第一实施方式的方法中,所述金属硬掩模层3确定下方层(例如第二介电层42)中的接触孔蚀刻。在根据第二实施方式的方法中,BCP的包埋部分72确定接触孔蚀刻。
图3(a)和3(b)还说明了根据本发明的第一个优选实施方式的工艺流程,并且对应于图1(e)和(h)中所示的步骤。其说明了硬掩模层的上部(其在第二介电层的不需要通孔的位置保留,例如层2)也可在必要时延伸进入模板开口,以阻止该区域中的通孔形成。这同样适用于本发明的第二个优选实施方式:在不需要通孔的第二介电层的位置处余留的硬掩模层3也可在必要时延伸进入模板开口,以阻碍该区域中的通孔形成。
图4(a)-4(c)说明了根据本发明实施方式的模板层开口的排列。
在具有常规基质类型的图4(a)所示的实施方式中,所有开口具有相同尺寸(即,仅有一个亚组的相同尺寸的开口),并且所述开口根据常规栅格图案排列。所述开口以相同(“水平的”)方向取向。
在图4(b)中所示的实施方式中,所有开口具有相同尺寸(即,仅有一个亚组的具有相同尺寸的开口),并且所述开口根据不同图案均匀地分布或规则分布。至少一个开口,实际上,第一亚组的开口以与第二亚组的开口不同的方向(“水平”)取向,所述后者以相对90°的角取向(“垂直”)。第一组和第二组的组合构成开口的完整的组。
根据另一个优选实施方式,如图4(c)中所示,模板层中,具有相同尺寸的开口的亚组的限定数量是2。相较于具有相同尺寸的开口的第二亚组的开口而言,开口的第一亚组的开口具有相同的宽度但具有不同的长度。第一组的所有开口均沿第一方向对齐,而第二组的所有开口均沿第二方向对齐,第一方向和第二方向相同。
优选地,对于所有实施方式,由模板层中的开口确定的图案具有基本均一的图案密度,并且优选地具有相同深度。
在所示实施方式中,在沿与所示基材的主要前表面平行的平面中,模板层中的开口的截面是矩形的或正方形的,但本发明不严格限制于此。
图5(a)和(b)说明了根据本发明的优选实施方式,通过DSA工艺在模板开口中形成通孔(vias)或通孔(through hole)的形成,分别对应于图4(a)和(c)所述的实施方式。
在图5(a)中所示的实施方式中,对于预先确定的BCP材料,基于该BCP材料的预先确定的自然周期性,开口具有相同的尺寸并且包含适于在BCP中形成2孔(“猪鼻子状”)结构的尺度。
在图5(b)中所示的实施方式中,基于BCP材料的预先确定的自然周期性,存在具有相同尺寸的开口的两个亚组,第一亚组和第二亚组的开口各自的尺寸是预先确定的,从而对于所用的预先确定的BCP材料而言,在开口中分别形成两个通孔和三个通孔。
例如,可采用L0值为37nm的BCP(PS-嵌段-PMMA),其将在模板化的DSA工艺中产生15-17nm直径的孔(尤其是猪鼻子孔类型)。这意味着,L0值为37nm,模板层中的沟槽/开口的尺度优选74nm x 148nm,以在各沟槽中具有两个接触孔,而74nm X 222nm的尺度则在各沟槽中具有三个接触孔。
例如,如果L0是28nm,所述模板层开口/沟槽具有56nm X 112nm的尺度,以供两个通孔,而56nm X 168nm的尺度则供于三个通孔。
优选地,沟槽尺度可由长度(L)和宽度(W)确定,其中L=i x L0且W=j x L0,i和j均为整数值。因此,模板开口/沟槽优选对于预先确定的BCP系统是预先确定的,基于特定的L0值。
例如,为了在模板开口/沟槽内获得两个孔,(i,j)可优选为(2,4);为了在所述模板开口/沟槽内获得三个孔,(i,j)可优选为(2,6)。
应理解,本发明实施方式中所述的模板化的DSA工艺说明新整合方案,其简化DSA接触孔的形成并且与目前和未来的高级通孔图案化相兼容。
上述说明详细描述了本发明的某些实施方式。但是应理解,不管上文看起来有多详细,都可以很多种方式实施本发明。
虽然上文的详细描述参照各种实施方式显示、描述和指出了本发明的新颖的特征,但本领域技术人员应理解,可在不背离本发明精神和范围的情况下对所示装置或方法的形式和细节作出各种省略、替代和改变。

Claims (13)

1.一种用于形成接触通孔的方法,所述方法包括:
-提供基材,所述基材包含包埋于第一介电层中的多个接触件结构,所述接触件毗连所述第一介电层的上表面;
-在所述第一介电层的所述上表面上提供第二介电层;
-通过至少在对应于所述接触件结构的位置处使所述第二介电层图案化,来提供所述第二介电层中的接触通孔;
其中,所述方法包括:
-提供位于所述第二介电层顶部的硬掩模层;
-使所述硬掩模层图案化,由此移除所述硬掩模层的一部分,从而在所述第二介电层中不需要通孔的位置处留下所述硬掩模层的至少上部;
-提供图案化的平坦化模板层,所述图案化的平坦化模板层提供于所述图案化的硬掩模层的顶部,并且如果所述硬掩模层被完全移除以及在所述硬掩模层被完全移除之处,所述图案化的平坦化模板层提供于所述第二介电层上;所述图案化的模板层包含一组开口,所述一组开口均匀地分布在所述模板层中,所述一组开口包含限定数量的具有相同尺寸的开口的亚组,并且所述开口中至少一些对应于所述接触件结构的所述位置;
-进行DSA工艺,包括:在所述模板层的所有所述开口中提供预先确定的嵌段共聚物材料,诱导所述开口中所述嵌段共聚物的聚合物分离,和移除所述开口中的所述嵌段共聚物的一个构成部分;所述图案化的模板层和被称为第二构成部分的所述嵌段共聚物的另一构成部分一起确定包含DSA开口的图案,并且所述DSA开口定位在对应于所述接触件结构的位置处;和
-采用至少所述第二构成部分作为掩模,在所述第二介电层中蚀刻所述接触通孔;
其中,开口的亚组包括:对于所述预先确定的嵌段共聚物材料,基于所述嵌段共聚物材料的预先确定的自然周期性,具有适于在所述嵌段共聚物中形成一孔、二孔或三孔结构的尺度的开口。
2.如权利要求1所述的方法,其特征在于,在所述模板层的所有所述开口中提供嵌段共聚物的操作包括:将所述开口填充50-100%。
3.如权利要求2所述的方法,其特征在于,在所述模板层的所有所述开口中提供嵌段共聚物的操作包括:将所述开口填充50-60%。
4.如权利要求1所述的方法,其特征在于,所述方法仅包括具有相同尺寸的开口的一个亚组,即,其中,所有开口具有相同尺寸,并且其中所述开口根据常规栅格图案排列。
5.如权利要求4所述的方法,其特征在于,所述开口以相同的方向取向。
6.如权利要求4所述的方法,其特征在于,至少一个开口以不同的方向取向。
7.如权利要求1所述的方法,其特征在于,所述方法仅包括具有相同尺寸的开口的两个亚组,第一亚组和第二亚组的开口的相应尺寸是预先确定的,从而对于所用的所述预先确定的嵌段共聚物材料而言,在所述开口中分别形成两个通孔和三个通孔。
8.如权利要求1所述的方法,其特征在于,所述模板层中的所述开口是矩形或正方形的。
9.如权利要求1所述的方法,其特征在于,所述第二介电层的顶部上提供硬掩模层的操作包括:提供金属材料的单一层作为硬掩模层,并且其中,紧随其后进行所述硬掩模层的图案化。
10.如权利要求1所述的方法,其特征在于,在所述第二介电层的顶部上提供硬掩模层的操作包括:提供双层结构,所述双层结构包含第一层金属材料和直接位于所述第一层金属材料的顶部的第二层介电材料,所述方法还包括:在通过对所述第一层金属材料具有选择性的光刻处理后紧接着仅使所述第二介电层图案化。
11.如权利要求10所述的方法,其特征在于,使所述硬掩模层图案化的操作包括:仅在确定所述DSA开口之后,在蚀刻所述第二介电层中的所述接触通孔之前或同时,使所述第一层金属材料图案化。
12.如权利要求9所述的方法,所述方法包括:
-在使单一硬掩模层图案化之后,在所述基材上、所述图案化的硬掩模层顶部和所述第二介电层上提供平坦化层或层堆叠体;和
-通过光刻处理使所述平坦化层或层堆叠体图案化,从而确定所述图案化的模板层。
13.如权利要求10或11所述的方法,所述方法包括:
-在仅使所述硬掩模层的第二层介电材料图案化之后,在所述基材上、所述图案化的硬掩模层顶部和所述第二介电层上提供平坦化层或层堆叠体;和
-通过光刻处理使所述平坦化层或层堆叠体图案化从而确定所述图案化的模板层。
CN201510690193.2A 2014-10-22 2015-10-22 用于形成接触通孔的方法 Active CN105551970B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
EP14189847.8A EP3012860A1 (en) 2014-10-22 2014-10-22 A method for forming contact vias
EP14189847.8 2014-10-22

Publications (2)

Publication Number Publication Date
CN105551970A CN105551970A (zh) 2016-05-04
CN105551970B true CN105551970B (zh) 2020-02-28

Family

ID=51753104

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201510690193.2A Active CN105551970B (zh) 2014-10-22 2015-10-22 用于形成接触通孔的方法

Country Status (3)

Country Link
US (1) US9905455B2 (zh)
EP (1) EP3012860A1 (zh)
CN (1) CN105551970B (zh)

Families Citing this family (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR102637883B1 (ko) * 2015-12-11 2024-02-19 아이엠이씨 브이제트더블유 기판 상의 패턴 형성 방법, 그 방법에 관련된 반도체 장치 및 이용
US10340179B2 (en) 2017-09-13 2019-07-02 International Business Machines Corporation Via formation using directed self-assembly of a block copolymer
US11329001B2 (en) 2019-05-15 2022-05-10 International Business Machines Corporation Embedded chip identification formed by directed self-assembly
EP4113209A1 (en) * 2021-06-29 2023-01-04 Imec VZW Providing different patterns on a single substrate

Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN101588988A (zh) * 2007-01-24 2009-11-25 美光科技公司 通过自我组装的嵌段共聚物形成二维阵列的具有亚光刻直径的孔洞

Family Cites Families (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7579278B2 (en) * 2006-03-23 2009-08-25 Micron Technology, Inc. Topography directed patterning
US7553760B2 (en) 2006-10-19 2009-06-30 International Business Machines Corporation Sub-lithographic nano interconnect structures, and method for forming same
US7767099B2 (en) * 2007-01-26 2010-08-03 International Business Machines Corporaiton Sub-lithographic interconnect patterning using self-assembling polymers
US8486611B2 (en) * 2010-07-14 2013-07-16 Micron Technology, Inc. Semiconductor constructions and methods of forming patterns
JP2013165151A (ja) * 2012-02-10 2013-08-22 Toshiba Corp パターン形成方法
US8771929B2 (en) 2012-08-16 2014-07-08 International Business Machines Corporation Tone inversion of self-assembled self-aligned structures
US9153477B2 (en) * 2012-09-28 2015-10-06 Intel Corporation Directed self assembly of block copolymers to form vias aligned with interconnects
US8715917B2 (en) 2012-10-04 2014-05-06 International Business Machines Corporation Simultaneous photoresist development and neutral polymer layer formation
US9087699B2 (en) * 2012-10-05 2015-07-21 Micron Technology, Inc. Methods of forming an array of openings in a substrate, and related methods of forming a semiconductor device structure
JP5768074B2 (ja) * 2013-02-28 2015-08-26 株式会社東芝 パターン形成方法
KR20150019922A (ko) * 2013-08-16 2015-02-25 에스케이하이닉스 주식회사 홀 패턴 제조 방법, 전자 장치 및 그 제조 방법

Patent Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN101588988A (zh) * 2007-01-24 2009-11-25 美光科技公司 通过自我组装的嵌段共聚物形成二维阵列的具有亚光刻直径的孔洞

Also Published As

Publication number Publication date
US20160118295A1 (en) 2016-04-28
CN105551970A (zh) 2016-05-04
US9905455B2 (en) 2018-02-27
EP3012860A1 (en) 2016-04-27

Similar Documents

Publication Publication Date Title
US8916337B2 (en) Dual hard mask lithography process
KR102436100B1 (ko) 분해능이하 기판 패터닝을 위한 에칭 마스크를 형성하는 방법
CN108369899B (zh) 形成用于亚分辨率基板图案化的蚀刻掩模的方法
US8771929B2 (en) Tone inversion of self-assembled self-aligned structures
KR20150101398A (ko) 기판 내 반도체 장치의 핀 구조체 제조방법
CN105551970B (zh) 用于形成接触通孔的方法
US8398874B2 (en) Methods of manufacturing semiconductors using dummy patterns
US8716151B2 (en) Method of fabricating semiconductor devices
EP3035379A1 (en) Method for blocking a trench portion
TWI731143B (zh) 基於蝕刻之基板平坦化方法
US9941191B2 (en) Non-bridging contact via structures in proximity
EP3108500B1 (en) Spacer enabled active isolation for an integrated circuit device
CN108074808B (zh) 使用半双向图案化和岛形成半导体器件的方法
US10319637B2 (en) Method for fully self-aligned via formation using a directed self assembly (DSA) process
US9899220B2 (en) Method for patterning a substrate involving directed self-assembly
KR20220020834A (ko) 반도체 디바이스의 평탄화
KR100694975B1 (ko) 반도체 소자의 금속배선 형성방법
CN109599359A (zh) 半导体器件及其形成方法
JP2005327874A (ja) 半導体集積回路装置の製造方法
US20130341762A1 (en) Semiconductor hole structure

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant