CN105378924B - 具有最小时钟偏移的单片式三维(3d)触发器以及相关的系统和方法 - Google Patents

具有最小时钟偏移的单片式三维(3d)触发器以及相关的系统和方法 Download PDF

Info

Publication number
CN105378924B
CN105378924B CN201480040063.8A CN201480040063A CN105378924B CN 105378924 B CN105378924 B CN 105378924B CN 201480040063 A CN201480040063 A CN 201480040063A CN 105378924 B CN105378924 B CN 105378924B
Authority
CN
China
Prior art keywords
level
clock
3dic
circuit
latch
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
CN201480040063.8A
Other languages
English (en)
Other versions
CN105378924A (zh
Inventor
P·卡迈勒
Y·杜
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Qualcomm Inc
Original Assignee
Qualcomm Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Qualcomm Inc filed Critical Qualcomm Inc
Publication of CN105378924A publication Critical patent/CN105378924A/zh
Application granted granted Critical
Publication of CN105378924B publication Critical patent/CN105378924B/zh
Expired - Fee Related legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • HELECTRICITY
    • H03ELECTRONIC CIRCUITRY
    • H03KPULSE TECHNIQUE
    • H03K3/00Circuits for generating electric pulses; Monostable, bistable or multistable circuits
    • H03K3/02Generators characterised by the type of circuit or by the means used for producing pulses
    • H03K3/027Generators characterised by the type of circuit or by the means used for producing pulses by the use of logic circuits, with internal or external positive feedback
    • H03K3/037Bistable circuits
    • H03K3/0372Bistable circuits of the master-slave type
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/30Circuit design
    • G06F30/39Circuit design at the physical level
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/06Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including a plurality of individual components in a non-repetitive configuration
    • H01L27/0688Integrated circuits having a three-dimensional layout
    • HELECTRICITY
    • H03ELECTRONIC CIRCUITRY
    • H03KPULSE TECHNIQUE
    • H03K3/00Circuits for generating electric pulses; Monostable, bistable or multistable circuits
    • H03K3/02Generators characterised by the type of circuit or by the means used for producing pulses
    • H03K3/353Generators characterised by the type of circuit or by the means used for producing pulses by the use, as active elements, of field-effect transistors with internal or external positive feedback
    • H03K3/356Bistable circuits
    • H03K3/3562Bistable circuits of the master-slave type
    • H03K3/35625Bistable circuits of the master-slave type using complementary field-effect transistors

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Power Engineering (AREA)
  • Computer Hardware Design (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Theoretical Computer Science (AREA)
  • Evolutionary Computation (AREA)
  • Geometry (AREA)
  • General Engineering & Computer Science (AREA)
  • Design And Manufacture Of Integrated Circuits (AREA)

Abstract

本文公开了具有最小时钟偏移的单片式三维(3D)触发器以及相关的系统和方法。本公开内容提供了一种3D集成电路(IC)(3DIC),该3DIC具有散布跨越该3DIC的至少两个层级的触发器。触发器跨越层级分离开,其中晶体管以这样一种方式来划分:使得所有与时钟有关的器件都位于同一层级,因而潜在地给出较好的建立、保持以及时钟至q(clock‑to‑q)裕量。具体而言,3DIC的第一层级具有主锁存器、从锁存器和时钟电路。第二层级具有输入电路和输出电路。

Description

具有最小时钟偏移的单片式三维(3D)触发器以及相关的系统 和方法
优先申请
本申请要求享有于2013年7月16日提交的、名称为“MONOLITHIC THREEDIMENSIONAL(3D)SCAN D-FLOP DESIGN WITH MINIMAL CLOCK SKEW”的美国临时专利申请序列号No.61/846,652的优先权,故以引用方式将其全部内容并入本文中。
本申请还要求享有于2013年8月28日提交的、名称为“MONOLITHIC THREEDIMENSIONAL(3D)FLIP-FLOPS WITH MINIMAL CLOCK SKEW AND RELATED SYSTEMS ANDMETOHODS”的美国专利申请序列号No.14/012,445的优先权,故以引用方式将其全部内容并入本文中。
技术领域
概括地说,本公开内容的技术涉及单片式三维(3D)集成电路(IC)(3DIC)。
背景技术
移动通信设备在当前社会已经变得常见。现在在这些设备上所实现的许多功能部分地驱使了这些移动设备的流行。对于这样的功能的需求增加了处理能力要求,并且产生了对于更加强大的电池的需求。在移动通信设备的外壳的有限空间内,电池与处理电路进行竞争。该有限的空间对电路内的部件以及功率消耗的持续小型化造成压力。尽管在移动通信设备的集成电路(IC)中已经特别关注小型化,但是在其它设备中的IC的小型化方面也进行了努力。
以往,一直将IC内的元件全部置于单个二维有源层中,其中元件通过也在IC内的一个或多个金属层而互连。在小型化方面的努力在二维空间上已经达到了它们的极限,因此设计思路已经转移到三个维度。尽管已经有通过IC适当地方之外的单独的一组金属层来连接两个或更多个IC的努力,但是此解决方案适当地说并非是三维(3D)方案。同样地,两个IC芯片已经一个堆叠在另一个之上,其中通过焊接凸块(即,所谓的“倒装芯片”的格式)在该两个IC芯片之间建立连接。同样地,存在系统级封装(SIP)解决方案,SIP解决方案将IC芯片堆叠在彼此之上,其中利用硅通孔(TSV)在芯片之间建立连接。尽管可以说倒装芯片实施方案和TSV实施方案表示3D解决方案,但是实现倒装芯片所需要的空间量依然较大。同样地,相对于芯片的总体尺寸而言,实施TSV所需要的空间变得空间受限。
响应于在实现满足小型化目标的小IC上的困难,行业已经引入了单片式三维IC(3DIC)。单片式3DIC的出现在电路设计上已经提供了许多有趣的可能性,但是也造成了其自身设计问题。具体而言,3DIC的层或者层级之间的工艺变化可能会导致具有非常大的3个标准差(3-sigma)扩展的不可接受的时钟偏移。当将这种偏移的时钟信号应用于触发器时,该时钟偏移可能会导致不可接受的建立时间、保持时间或者时钟至q(clock-to-q)裕量。自动地进行芯片布局设计的软件可能会进一步加剧工艺变化所引入的偏移。
发明内容
具体实施方式中所公开的实施例包括具有最小时钟偏移的单片式三维(3D)触发器以及相关的系统和方法。本公开内容提供了一种3D集成电路(IC)(3DIC),该3DIC具有散布跨越该3DIC的至少两个层级的触发器。触发器跨越层级分离开,其中晶体管以这样一种方式来划分:使得所有与时钟有关的器件都位于同一层级,因而潜在地给出较好的建立、保持以及时钟至q裕量。具体而言,3DIC的第一层级具有主锁存器、从锁存器和时钟电路。第二层级具有输入电路和输出电路。通过将触发器中的要求最小采样窗口的元件放置在单个层级中,这些元件中的每个元件都经历了相同的制造工艺,因此使得相同层级中的元件之间的工艺变化最小化。尽管层级之间的工艺变化可能依然存在,但是对于与时钟有关的器件中的每个器件而言减小了工艺变化。通过减小或者消除在与时钟有关的元件之间的工艺变化,对于每个元件而言时钟偏移是一致的并且能够很容易地解决。
就这点而言,在一个实施例中提供了一种3D触发器。该3D触发器包括被设置在3DIC的第一层级中的主锁存器,该主锁存器被配置为接收输入和时钟输入,该主锁存器被配置为提供主锁存器输出。该3D触发器还包括被设置在3DIC的第一层级中的从锁存器,该从锁存器被配置为提供3DIC触发器输出。该3D触发器还包括被配置为提供时钟输入的时钟电路,该时钟电路被设置在3DIC的第一层级中。该3D触发器还包括被配置为向主锁存器提供数据输入的数据输入电路,该数据输入电路被设置在3DIC的与第一层级不同的第二层级中。
就这点而言,在一个实施例中提供了一种3D触发器。该3D触发器包括用于接收输入和时钟输入的主单元,该主单元被配置为提供主锁存器输出,该主单元被设置在3DIC的第一层级中。该3D触发器还包括用于提供3DIC触发器输出的从单元,该从单元被设置在3DIC的第一层级中。该3D触发器还包括用于提供时钟输入的时钟单元,该时钟单元被设置在3DIC的第一层级中。该3D触发器还包括被配置为向主单元提供数据输入的数据输入电路,该数据输入电路被设置在3DIC的与第一层级不同的第二层级中。
就这点而言,在另一个实施例中公开了一种设计触发器的方法。该方法包括在3DIC的第一层级中设置主锁存器、从锁存器以及时钟电路。该方法还包括在3DIC的与第一层级不同的第二层级中设置数据输入电路。
附图说明
图1是示例性的三维(3D)集成电路(IC)(3DIC)的透视图;
图2是示例性的传统扫描D-触发器电路的框图;
图3是突出了扫描D-触发器电路内的本公开内容的示例性概念的框图;
图4是并入有图3的示例性D-触发器的3DIC的简化分解透视图;
图5是并入有根据本公开内容的示例性实施例的扫描D-触发器的示例性3DIC;
图6是示出了可以用于对根据本公开内容的示例性实施例的触发器进行设计的设计过程的流程图;以及
图7是可以包括图3至图5的扫描D-触发器的示例性的基于处理器的系统的框图。
具体实施方式
现在参考附图,描述了本公开内容的若干示例性实施例。本文使用词语“示例性的”来表示“用作实例、示例或者例证”。本文被描述为“示例性的”的任何实施例不一定被解释为相对于其它实施例是优选的或者有利的。
具体实施方式中所公开的实施例包括具有最小时钟偏移的单片式三维(3D)触发器以及相关的系统和方法。本公开内容提供了一种3D集成电路(IC)(3DIC),该3DIC具有散布跨越该3DIC的至少两个层级的触发器。触发器跨越层级分离开,其中晶体管以这样一种方式来划分:使得所有与时钟有关的器件都位于同一层级,因而潜在地给出较好的建立、保持以及时钟至q裕量。具体而言,3DIC的第一层级具有主锁存器、从锁存器和时钟电路。第二层级具有输入电路和输出电路。通过将触发器中的要求最小采样窗口的元件放置在单个层级中,这些元件中的每个元件都经历了相同的制造工艺,因此使得相同层级中的元件之间的工艺变化最小化。尽管层级之间的工艺变化可能依然存在,但是对于与时钟有关的器件中的每个器件而言减小了工艺变化。通过减小或者消除在与时钟有关的元件之间的工艺变化,对于每个元件而言时钟偏移是一致的并且能够很容易地解决。
就这点而言,图1是可以并入有根据本公开内容的触发器的示例性3DIC 10的透视图。3DIC 10具有第一层级12,第一层级12具有其中设置有元件的第一有源层14。3DIC 10具有与第一层级12不同的、具有第二有源层18的第二层级16,在第二有源层18中设置有元件。第一有源层14和第二有源层18内的元件通过单片式层级间通孔(MIV)20来互连。有关MIV的更多信息,感兴趣的读者请参考Proceedings of the IEEE/ACM Asia South PacificDesign Automation Conference 2013的681-686页的、Shreedpad Panth等所著的“High-Density Integration of Functional Modules Using Monolithic 3D-IC Technology”,其以全文引用的方式并入本文中。可以通过氢切割或者类似的技术来形成3DIC 10。有关示例性的氢切割过程的更多信息,感兴趣的读者请参考于2013年2月12日提交的美国专利申请序列号No.13/765,080,其以全文引用的方式并入本文中。可以通过诸如石墨烯屏蔽体之类的电磁屏蔽体(未示出)来电气隔离层级12、层级16(除了MIV20之外)。有关3DIC中的石墨烯屏蔽体的更多信息,感兴趣的读者请参考于2013年2月12日提交的美国专利申请序列号No.13/765,061,其公开内容以全文引用的方式并入本文中。
参考图2,示出了示例性的传统扫描D-触发器22。为了澄清术语,D-触发器是一种形式的触发器。同样地,扫描触发器是允许通过一些附加电路对触发器进行测试的一种类型的触发器。由于这种测试普遍存在,所以许多传统的触发器实际上是扫描触发器。在传统部署中,D-触发器22中的每个元件都位于IC(未示出)的单个有源层内,其中在IC的金属层(未示出)中实现了D-触发器22的元件之间的互连,如很好理解的。D-触发器22包括主锁存器24和从锁存器26。D-触发器22还包括时钟电路28和数据输入电路30。尽管主锁存器24、从锁存器26、时钟电路28和数据输入电路30中每个都包括一个或多个晶体管或者其它元件,但是并未对其进行显式地标出,因为这些元件都是常规的并且在行业内是公知的。大体上有关触发器和D-触发器的更多信息,感兴趣的读者请转到于1953年9月8日提交的美国专利申请No.2,850,566,其以全文引用的方式并入本文中。如上所述,在传统D-触发器22中,主锁存器24、从锁存器26、时钟电路28和数据输入电路30中的每个全部都在IC的一个平面内。
随着特定的IC中的器件的数量增大,传统的触发器将出现问题。随着器件的数量增大,元件之间的延迟可能会导致不可接受的时钟至q偏移。时钟偏移的来源为局部器件与器件的失配,其可能是由于随机变化或者系统性的变化(或者两者)。随机变化可能是由于器件的沟道内的掺杂浓度上的差异,其导致与目标值相比该器件略微更慢或者更快。类似地,由于几何形状缩小,所以管芯内的局部环境(context)或者其中较小的部分(特定器件位于其中)也导致了掺杂浓度上的差异(由于活化能的无规律的吸收)以及eh沟道所经受的晶格应力上的差异,再次导致比目标值更慢或者更快的器件。变化的另一个来源是不同器件之间的非奇异的互连延迟,因为并非所有的互连部(或者连接器件端子的金属)都是相同的。本公开内容的受让人所提出的一项技术是利用单片式3DIC来缩短连接导体的长度。尽管缩短连接导体的确减小了延迟,但是单片式3DIC的层级之间的工艺变化可能会导致非预期的偏移以及较大的3个标准差扩展。
本公开内容通过实施跨越3DIC的多个层级的触发器来解决跨越层级的工艺变化。然而,触发器被布置为使得主锁存器和从锁存器与时钟电路位于相同层级上。输入电路在不同的第二层级上。通过将主锁存器和从锁存器放置在与时钟电路相同的层级上,此层级内的工艺变化一致,这减小了偏移以及3个标准差扩展。
就这点而言,图3和图4示出了具有主锁存器34、从锁存器36和时钟电路38的触发器32的示意图,主锁存器34、从锁存器36和时钟电路38被设置在3DIC 42(图4)的第一层级40(图4)中。数据输入电路44被设置在3DIC 42的第二层级46(图4)中。注意,触发器32可以是扫描触发器,并且具有扫描输入端(Sin)48,但是本公开内容的概念既适用于扫描触发器又适用于常规触发器。如果触发器32是扫描触发器,那么除了扫描输入端48之外,输入复用器(未示出)可以用于在数据输入电路44与扫描输入端48之间选择。该复用器位于3DIC的第二层级中。此外,输出端50可以位于第二层级上。在示例性实施例中,第一层级40位于第二层级46之下。应当理解的是,尽管未在图3或图4中示出,诸如MIV20之类的MIV使第一层级40与第二层级46互相耦合,从而允许第一层级40中的元件(例如,主锁存器34、从锁存器36和时钟电路38)与第二层级46中的元件(例如,数据输入端44、扫描输入端48和输出端50)之间的电连接。
在示例性实施例中,可以改变层级的材料或者特性,以进一步提高或者优化性能。例如,第一层级可以具有晶体管,该晶体管具有比第二层级的晶体管更低的阈值电压。或者,第一层级的晶体管可以由高K金属栅极晶体管制成,并且第二层级的晶体管可以由多晶硅晶体管制成。
图5示出了3DIC 42中的触发器32的示例性的管芯布局。具体而言,图5以俯视图的格式示出了如电路设计软件所布图的并且通过诸如以集成电路为重心的模拟程序(SPICE)之类的程序进行测试的不同的导电元件和半导体元件。如图3和图4中所示的电路一样,第一层级40包括主锁存器34、从锁存器36和时钟电路38。第二层级46包括数据输入端44、扫描输入端48和输出端50。
利用具有本公开内容的折叠式(folded)触发器32的单片式3DIC为大部分专用集成电路(ASIC)设计提供了改进的功率/性能/面积(PPA)的平衡,并且消除了或者至少减少了由于3DIC的不同层级之间随机的工艺变化而引起的失配或者非预期的偏移。这样的布置应当导致最小的时钟偏移并且给出具有较好的建立、保持和时钟至q裕量的触发器。额外的益处在于通过将锁存器移至不同的层级,减小了输入层级上的拥塞,从而对于布线器来说给出了增强的引脚可访问性以及孔隙度。
尽管未在图3至图5中示出,但是应当理解的是,单片式3DIC 42可以包括其它电路,例如期望或者所需的存储器位单元、数字信号处理器、基带处理器等。这种附加的元件可能会使电路布局复杂。因而,许多电路是通过使用软件程序来进行设计的,该软件程序自动地放置和互连电路内的元件。这样的软件可以允许电路设计者在运行向其余的元件分配位置的算法之前确定可以将某些元件放置在哪里。或者,该软件可以容纳硬宏命令,该硬宏命令允许某些子元件在电路内具有特定的相关布置而不管位置如何。一种这样的硬宏命令可以是要求主锁存器34、从锁存器36和时钟电路38全部都在一个层级中并且输入端44、输入端48和输出端50在第二层级中。
就这点而言,图6示出了触发器32的电路设计的示例性过程60。过程60开始于当电路设计者意识到电路中需要触发器时(框62)。电路设计者直接地或者通过软件将主锁存器34、从锁存器36和时钟电路38设置在第一层级40上(框64)。电路设计者直接地或者通过软件将数据输入端44设置在第二层级46上(框66)。
继续参考图6,电路设计者直接地或者通过软件将输出端50设置在第二层级46上(框68)。然后,电路设计者利用MIV 20或者其它导电元件来布置互连以耦合这些元件(框70)。然后,可以构成(populate)电路的剩余部分。
根据本文所公开的实施例的、具有最小时钟偏移的单片式3D扫描D-触发器设计可以被提供在任意基于处理器的设备中或者被集成到任意基于处理器的设备中。示例包括但不限于机顶盒、娱乐单元、导航设备、通信设备、固定位置数据单元、移动位置数据单元、移动电话、蜂窝电话、计算机、便携式计算机、台式计算机、个人数字助理(PDA)、监视器、计算机显示器、电视机、调谐器、无线电装置、卫星无线电装置、音乐播放器、数字音乐播放器、便携式音乐播放器、数字视频播放器、视频播放器、数字视频光盘播放器(DVD)以及便携式数字视频播放器。
就这点而言,图7示出了可以采用图3至图5中所示的触发器32的、基于处理器的系统80。在该示例中,基于处理器的系统80包括一个或多个中央处理单元(CPU)82,每个CPU82都包括一个或多个处理器84。CPU 82可以具有耦合到处理器84的高速缓冲存储器86,以便快速访问临时储存的数据。CPU 82耦合到系统总线88,并且可以与包括在基于处理器的系统80中的设备互相耦合。如所公知的,CPU 82通过交换地址信息、控制信息和数据信息在系统总线88上与这些其它设备通信。
其它设备可以连接到系统总线88。如图7所示,举例而言,这些设备可以包括存储系统90、一个或多个输入设备92、一个或多个输出设备94、一个或多个网络接口设备96以及一个或多个显示控制器98。输入设备92可以包括任何类型的输入设备,包括(但不限于)输入键、开关、语音处理器等。输出设备94可以包括任何类型的输出设备,包括(但不限于)音频指示器、视频指示器、其它视觉指示器等。网络接口设备96可以是被配置为允许交换往来于网络100的数据的任意设备。网络100可以是任何类型的网络,包括(但不限于)有线网络或无线网络、专用网络或公共网络、局域网(LAN)、广域网(WLAN)以及互联网。网络接口设备96可以被配置为支持任何类型的所期望的通信协议。
还可以将CPU 82配置为通过系统总线88来访问显示控制器98,以对发送至一个或多个显示器102的信息进行控制。显示控制器98经由一个或多个视频处理器104向显示器102发送要显示的信息,该一个或多个视频处理器104将该要显示的信息处理成适合于显示器102的格式。显示器102可以包括任何类型的显示器,包括(但不限于)阴极射线管(CRT)、液晶显示器(LCD)、等离子体显示器等。
本领域技术人员还将意识到,结合本文所公开的实施例所描述的各种说明性的逻辑框、模块、电路以及算法可以被实现为电子硬件、储存在存储器或在另一种计算机可读介质中并且由处理器或其它处理设备执行的指令,或者两者的组合。举例而言,本文所描述的仲裁器、主设备和从设备可以被采用在任何电路、硬件组件、IC或者IC芯片中。本文所公开的存储器可以是任何类型和尺寸的存储器,并且可以被配置为储存所期望的任何类型的信息。为了清楚地示出这种可互换性,各个说明性的组件、框、模块、电路和步骤已经围绕其功能在上文进行了总体描述。如何实现这种功能性取决于具体应用、设计选择和/或施加在整体系统上的设计约束。本领域技术人员可以针对各种特定应用以不同方式来实现所描述的功能,但是这样的实现决策不应当被解释为导致脱离本公开内容的范围。
结合本文所公开的实施例所描述的各个说明性的逻辑框、模块和电路可以使用被设计为执行本文中描述的功能的处理器、数字信号处理器(DSP)、ASIC、现场可编程门阵列(FPGA)或其它可编程逻辑器件、分立的门或晶体管逻辑、分立的硬件组件、或者其任意组合来实现或执行。处理器可以是微处理器,但是在替代方案中,处理器可以是任何常规的处理器、控制器、微控制器、或状态机。处理器还可以被实现为计算设备的组合,诸如DSP与微处理器的组合、多个微处理器、一个或多个微处理器结合DSP核、或者任何其它这种配置。
本文所公开的实施例可以包含在硬件中和被储存在硬件中的指令中,并且例如可以驻留在随机存取存储器(RAM)、闪存、只读存储器(ROM)、电可编程ROM(EPROM)、电可擦除可编程ROM(EEPROM)、寄存器、硬盘、可移动盘、CD-ROM、或本领域公知的任何其它形式的计算机可读介质中。示例性的储存介质耦合到处理器,使得处理器能够从/向储存介质读/写信息。在替代方案中,储存介质可以被整合到处理器。处理器和储存介质可以驻留在ASIC中。ASIC可以驻留在远程站中。在替代方案中,处理器和储存介质可以作为分立部件驻留在远程站、基站或服务器中。
还应当指出,对在本文的示例性实施例中的任何一个中所描述的操作步骤进行描述,以便提供示例和讨论。所描述的操作可以以除了所示出的顺序以外的许多不同顺序来执行。此外,在单个操作步骤中所描述的操作实际上可以在多个不同步骤中执行。另外,在示例性实施例中所讨论的一个或多个操作步骤可以进行组合。应当理解的是,如对于本领域技术人员而言将是显而易见的,在流程图中所示出的操作步骤可以经受许多不同的修改。本领域技术人员还应当理解,信息和信号可以使用各种不同技术和技艺中的任意一项来表示。例如,贯穿以上说明书可以引用的数据、指令、命令、信息、信号、比特、符号和码片可以由电压、电流、电磁波、磁场或磁粒子、光场或光粒子或者它们的任意组合来表示。
提供了对本公开内容的以上描述以使得任何本领域技术人员都能够实施或使用本公开内容。对于本领域技术人员而言,对本公开内容的各种修改将是显而易见的,并且在不脱离本公开内容的精神或范围的情况下,可以将本文所定义的一般性原理应用于其它变型。因此,本公开内容并非旨在限于本文所描述的示例和设计,而是要求保护与本文所公开的原理和新颖特征相一致的最广泛的范围。

Claims (20)

1.一种三维3D触发器,包括:
主锁存器,所述主锁存器被设置在3D集成电路IC,3DIC,的第一层级中,所述主锁存器被配置为接收输入和时钟输入,所述主锁存器被配置为基于所述输入和所述时钟输入来提供主锁存器输出;
从锁存器,所述从锁存器被设置在所述3DIC的所述第一层级中,所述从锁存器被配置为提供3DIC触发器输出;
时钟电路,所述时钟电路被配置为提供所述时钟输入,所述时钟电路被设置在所述3DIC的所述第一层级中;以及
数据输入电路,所述数据输入电路被配置为向所述主锁存器提供数据输入作为所述输入,所述数据输入电路被设置在所述3DIC的与所述第一层级不同的第二层级中。
2.根据权利要求1所述的3D触发器,还包括输出电路,所述输出电路被配置为接收所述主锁存器输出并且产生所述主锁存器输出的经缓冲的输出,所述输出电路被设置在与所述第一层级不同的层级中。
3.根据权利要求2所述的3D触发器,其中,与所述第一层级不同的所述层级由所述第二层级组成。
4.根据权利要求1所述的3D触发器,其中,所述3DIC由单片式3DIC组成。
5.根据权利要求1所述的3D触发器,其中,所述从锁存器包括多个从锁存器并且所述主锁存器包括仅单个主锁存器。
6.根据权利要求1所述的3D触发器,其中,所述主锁存器包括多个主锁存器并且所述从锁存器包括仅单个从锁存器。
7.根据权利要求1所述的3D触发器,其中,所述时钟电路包括两个反相器以提供经缓冲的时钟信号以及互补的时钟信号。
8.根据权利要求1所述的3D触发器,还包括输入复用器,所述输入复用器被配置为在所述数据输入电路与扫描输入端之间进行选择,其中扫描输入被提供为至所述主锁存器的所述输入,所述输入复用器被设置在所述第二层级中。
9.根据权利要求1所述的3D触发器,其中,所述第一层级包括相对于所述第二层级中的晶体管而言较低的阈值电压晶体管。
10.根据权利要求1所述的3D触发器,其中,所述第一层级包括高K金属栅极晶体管,并且所述第二层级包括多晶硅晶体管。
11.根据权利要求1所述的3D触发器,所述3D触发器被集成到IC中。
12.根据权利要求1所述的3D触发器,所述3D触发器被集成到选自由以下各项构成的组的设备中:机顶盒、娱乐单元、导航设备、通信设备、固定位置数据单元、移动位置数据单元、移动电话、蜂窝电话、计算机、便携式计算机、台式计算机、个人数字助理PDA、监视器、计算机显示器、电视机、调谐器、无线电装置、卫星无线电装置、音乐播放器、数字音乐播放器、便携式音乐播放器、数字视频播放器、视频播放器、数字视频光盘DVD播放器以及便携式数字视频播放器。
13.一种三维3D触发器,包括:
主单元,所述主单元用于接收输入和时钟输入,所述主单元被配置为基于所述输入和所述时钟输入来提供主锁存器输出,所述主单元被设置在3D集成电路IC,3DIC,的第一层级中;
从单元,所述从单元用于提供3DIC触发器输出,所述从单元被设置在所述3DIC的所述第一层级中;
时钟单元,所述时钟单元用于提供所述时钟输入,所述时钟单元被设置在所述3DIC的所述第一层级中;
数据输入电路,所述数据输入电路被配置为向所述主单元提供数据输入作为所述输入,所述数据输入电路被设置在所述3DIC的与所述第一层级不同的第二层级中。
14.根据权利要求13所述的3D触发器,其中,所述时钟单元包括时钟电路。
15.根据权利要求13所述的3D触发器,其中,所述主单元包括主锁存器。
16.一种设计触发器的方法,包括:
将主锁存器、从锁存器以及时钟电路设置在三维3D集成电路IC,3DIC,的第一层级中;以及
将数据输入电路设置在所述3DIC的与所述第一层级不同的第二层级中,
其中,所述主锁存器被配置为基于由所述数据输入电路提供的输入和由所述时钟电路提供的时钟输入来提供主锁存器输出。
17.根据权利要求16所述的方法,其中,将所述数据输入电路设置在所述第二层级中包括将所述数据输入电路配置为向所述主锁存器提供数据输入作为所述输入。
18.根据权利要求16所述的方法,其中,将所述时钟电路设置在所述第一层级中包括将所述时钟电路配置为向所述主锁存器提供所述时钟输入。
19.根据权利要求16所述的方法,其中,将所述从锁存器设置在所述第一层级中包括将所述从锁存器配置为提供3DIC触发器输出。
20.根据权利要求16所述的方法,还包括将输出电路设置在所述第二层级中。
CN201480040063.8A 2013-07-16 2014-07-15 具有最小时钟偏移的单片式三维(3d)触发器以及相关的系统和方法 Expired - Fee Related CN105378924B (zh)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US201361846652P 2013-07-16 2013-07-16
US61/846,652 2013-07-16
US14/012,445 2013-08-28
US14/012,445 US9013235B2 (en) 2013-07-16 2013-08-28 Monolithic three dimensional (3D) flip-flops with minimal clock skew and related systems and methods
PCT/US2014/046688 WO2015009716A1 (en) 2013-07-16 2014-07-15 Monolithic three dimensional (3d) flip-flops with minimal clock skew and related systems and methods

Publications (2)

Publication Number Publication Date
CN105378924A CN105378924A (zh) 2016-03-02
CN105378924B true CN105378924B (zh) 2018-02-06

Family

ID=52343111

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201480040063.8A Expired - Fee Related CN105378924B (zh) 2013-07-16 2014-07-15 具有最小时钟偏移的单片式三维(3d)触发器以及相关的系统和方法

Country Status (4)

Country Link
US (1) US9013235B2 (zh)
EP (1) EP3022769B1 (zh)
CN (1) CN105378924B (zh)
WO (1) WO2015009716A1 (zh)

Families Citing this family (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9537471B2 (en) * 2015-02-09 2017-01-03 Qualcomm Incorporated Three dimensional logic circuit
US9929149B2 (en) 2016-06-21 2018-03-27 Arm Limited Using inter-tier vias in integrated circuits
US9929733B1 (en) 2017-02-21 2018-03-27 Qualcomm Incorporated Connection propagation for inter-logical block connections in integrated circuits
US11295053B2 (en) * 2019-09-12 2022-04-05 Arm Limited Dielet design techniques

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN101047370A (zh) * 2006-03-31 2007-10-03 三洋电机株式会社 触发电路
CN102354519A (zh) * 2010-05-25 2012-02-15 三星电子株式会社 三维半导体器件

Family Cites Families (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US2776618A (en) 1953-06-11 1957-01-08 Hughes Aircraft Co Printing cylinders for high-speed printing systems
US4999528A (en) 1989-11-14 1991-03-12 Keech Eugene E Metastable-proof flip-flop
US5164612A (en) 1992-04-16 1992-11-17 Kaplinsky Cecil H Programmable CMOS flip-flop emptying multiplexers
EP0768758B1 (en) 1995-10-12 2004-01-02 STMicroelectronics S.r.l. Low-consumption and high-density D flip-flop circuit implementation, particularly for standard cell libraries
WO2000031871A1 (en) 1998-11-25 2000-06-02 Nanopower, Inc. Improved flip-flops and other logic circuits and techniques for improving layouts of integrated circuits
US7650549B2 (en) 2005-07-01 2010-01-19 Texas Instruments Incorporated Digital design component with scan clock generation
US7670927B2 (en) * 2006-05-16 2010-03-02 International Business Machines Corporation Double-sided integrated circuit chips
US8085076B2 (en) 2008-07-03 2011-12-27 Broadcom Corporation Data retention flip flop for low power applications
JP5641701B2 (ja) * 2009-03-25 2014-12-17 株式会社東芝 三次元半導体集積回路
US8242826B2 (en) * 2010-04-12 2012-08-14 Taiwan Semiconductor Manufacturing Co., Ltd. Retention flip-flop
US8519735B2 (en) * 2011-08-25 2013-08-27 International Business Machines Corporation Programming the behavior of individual chips or strata in a 3D stack of integrated circuits
US9098666B2 (en) * 2012-11-28 2015-08-04 Qualcomm Incorporated Clock distribution network for 3D integrated circuit

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN101047370A (zh) * 2006-03-31 2007-10-03 三洋电机株式会社 触发电路
CN102354519A (zh) * 2010-05-25 2012-02-15 三星电子株式会社 三维半导体器件

Non-Patent Citations (1)

* Cited by examiner, † Cited by third party
Title
High Speed I/O and Thermal Effect Characterization of 3D Stacked ICs;Moishe Groger. et al;《2009 IEEE International Conference on 3D System Integration》;20090928;第2页左栏第5段-第4页左栏第1段,附图4、10 *

Also Published As

Publication number Publication date
CN105378924A (zh) 2016-03-02
US9013235B2 (en) 2015-04-21
EP3022769B1 (en) 2023-05-17
WO2015009716A1 (en) 2015-01-22
EP3022769A1 (en) 2016-05-25
US20150022250A1 (en) 2015-01-22

Similar Documents

Publication Publication Date Title
US9041448B2 (en) Flip-flops in a monolithic three-dimensional (3D) integrated circuit (IC) (3DIC) and related methods
US11287474B2 (en) Scan flip-flop and scan test circuit including the same
US20150112646A1 (en) METHODS OF DESIGNING THREE DIMENSIONAL (3D) INTEGRATED CIRCUITS (ICs) (3DICs) AND RELATED SYSTEMS AND COMPONENTS
US9583179B2 (en) Three-dimensional (3D) memory cell separation among 3D integrated circuit (IC) tiers, and related 3D integrated circuits (3DICs), 3DIC processor cores, and methods
TWI585601B (zh) 半導體積體電路及其設計方法、佈局、以及設備
KR102308781B1 (ko) 집적 회로 및 반도체 장치
CN105378924B (zh) 具有最小时钟偏移的单片式三维(3d)触发器以及相关的系统和方法
US10713410B2 (en) Method for legalizing mixed-cell height standard cells of IC
US9740815B2 (en) Electromigration-aware integrated circuit design methods and systems
US10540475B2 (en) System for manufacturing a semiconductor device
CN105378843A (zh) 具有位单元和逻辑单元划分的单片式三维(3d)随机存取存储器(ram)阵列架构
US10002881B2 (en) Programmable integrated circuit standard cell
US20200051604A1 (en) Apparatus and method of clock shaping for memory
CN104752415A (zh) 用于三维集成电路的方法和装置
TW202009754A (zh) 天線保護單元
CN108604106B (zh) 侧信道感知的自动布局和布线
TW200416958A (en) Semiconductor integrated circuit, method of designing semiconductor integrated circuit, and device for designing the same
CN105683864A (zh) 具有垂直存储器部件的单片式三维(3d)集成电路(ic)(3dic)
WO2023045476A1 (en) Ultra-short-height standard cell architecture
US20230073878A1 (en) Integrated circuit including power gating circuit
US10867674B1 (en) One-time programmable (OTP) anti-fuse memory cell
CN114365139A (zh) 用于对管芯上电容器进行建模和仿真的系统和方法
Bhattacharya et al. TCAD-assisted capacitance extraction of FinFET SRAM and logic arrays
US8631370B2 (en) Swapping ports to change the timing window overlap of adjacent nets
US20220197982A1 (en) Hardware-Based Obfuscation of Digital Data

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant
CF01 Termination of patent right due to non-payment of annual fee

Granted publication date: 20180206

Termination date: 20210715

CF01 Termination of patent right due to non-payment of annual fee