CN105320583A - 基于uvm验证方法学的只写寄存器验证测试平台及验证方法 - Google Patents

基于uvm验证方法学的只写寄存器验证测试平台及验证方法 Download PDF

Info

Publication number
CN105320583A
CN105320583A CN201410373610.6A CN201410373610A CN105320583A CN 105320583 A CN105320583 A CN 105320583A CN 201410373610 A CN201410373610 A CN 201410373610A CN 105320583 A CN105320583 A CN 105320583A
Authority
CN
China
Prior art keywords
uvm
write
register
measured
interface
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
CN201410373610.6A
Other languages
English (en)
Inventor
茅乾博
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Shanghai Huahong Integrated Circuit Co Ltd
Original Assignee
Shanghai Huahong Integrated Circuit Co Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Shanghai Huahong Integrated Circuit Co Ltd filed Critical Shanghai Huahong Integrated Circuit Co Ltd
Priority to CN201410373610.6A priority Critical patent/CN105320583A/zh
Publication of CN105320583A publication Critical patent/CN105320583A/zh
Pending legal-status Critical Current

Links

Landscapes

  • Tests Of Electronic Circuits (AREA)

Abstract

本发明公开了一种基于UVM验证方法学的只写寄存器验证测试平台,包括:一基于UVM验证方法学的测试框架,其包括UVM测试序列和UVM序列发生器;一待测设计模块,其为具有寄存器及寄存器读写总线接口的数字设计模块;所述基于UVM验证方法学的测试框架通过寄存器读写总线接口与待测设计模块相连接;所述UVM测试序列能够调用UVM序列发生器,所述UVM序列发生器将测试需求通过寄存器读写总线接口激励待测设计模块;所述UVM测试序列通过VPI接口对待测设计模块内部信号进行访问。本发明还公开了一种基于UVM验证方法学的只写寄存器验证方法。本发明能有效提高验证效率,增加验证可信度。

Description

基于UVM验证方法学的只写寄存器验证测试平台及验证方法
技术领域
本发明涉及一种基于UVM(UniversalVerificationMethodologyManual验证方法学)的只写寄存器验证测试平台。本发明还涉及一种基于UVM验证方法学的只写寄存器验证方法。
背景技术
在芯片设计中往往采用寄存器去配置芯片参数以及控制芯片功能,根据芯片的具体功能,每个寄存器的读写属性不尽相同。由于一些具有只写属性的寄存器写入后无法通过总线方式进行读取,无法验证数据是否被正确写入;并且UVM验证方法学中的UVM测试序列一般都被放在包(package)中,包中是无法看到DUT(待测设计)内部层次结构的,即无法直接通过跨模块引用获取寄存器值;所以用传统方法验证只写寄存器,验证人员不得不花费大量的时间去改写测试平台和测试序列。
IEEE1364-2005标准规定了Verilog(硬件描述语言)可以使用编程语言接口(PLI)来跟C语言程序进行交互,VPI(Verilog编程接口)即是PLI的一种接口形式。SystemVerilog(硬件验证语言)通过直接编程接口可以封装上述VPI,从而方便导入C子程序。
通过上述VPI技术可以在UVM测试平台里通过引用设计内部模块层次结构获取DUT内部的只写寄存器实际值。
发明内容
本发明要解决的技术问题是提供一种基于UVM验证方法学的只写寄存器验证测试平台,能有效提高验证效率,增加验证可信度;为此,本发明还要提供一种基于UVM验证方法学的只写寄存器验证方法。
为解决上述技术问题,本发明的基于UVM验证方法学的只写寄存器验证测试平台,包括:
一基于UVM验证方法学的测试框架,其包括UVM测试序列(uvm_sequence)和UVM序列发生器(uvm_sequencer);
一待测设计(DUT)模块,其为具有寄存器及寄存器读写总线接口的数字设计模块;
所述基于UVM验证方法学的测试框架通过寄存器读写总线接口与待测设计模块相连接;所述UVM测试序列能够调用UVM序列发生器,所述UVM序列发生器将测试需求通过寄存器读写总线接口激励待测设计模块;所述UVM测试序列通过VPI接口对待测设计内部信号进行访问。
所述基于UVM验证方法学的只写寄存器验证方法是采用如下技术方案实现的,基于UVM验证方法学的测试框架通过寄存器读写总线接口与待测设计模块相连接;所述基于UVM验证方法学的测试框架包括UVM测试序列和UVM序列发生器;所述UVM测试序列能够调用UVM序列发生器,所述UVM序列发生器将测试需求通过寄存器读写总线接口激励待测设计模块;所述UVM测试序列通过VPI接口对待测设计模块内部信号进行访问。
本发明通过VPI接口读取设计代码内部寄存器的值来验证只写寄存器的只写属性;因此能有效提高验证效率,缩短测试例编写时间,降低验证难度,减少人为错误的引入,大大增加了验证可信度。
本发明能方便测试平台搭建,对设计进行快速验证,减小编程量和编程难度,大大加快了验证进度。
附图说明
下面结合附图与具体实施方式对本发明作进一步详细的说明:
图1是基于UVM验证方法学的只写寄存器验证测试平台结构图;
图2是VPI接口读流程图。
具体实施方式
图1是本发明的一实施例,采用UVM验证方法学搭建最简单的只写寄存器验证测试平台,只包含了UVM测试序列,UVM序列发生器,寄存器读写总线接口,以及待测设计模块。省去了UVM代理,UVM驱动器,UVM监测器等等UVM组件。
UVM测试序列将待测只写寄存器地址、数据以及相关总线控制信号传递给UVM序列发生器。UVM序列发生器通过寄存器读写总线接口将上述信号按照约定的时序驱动DUT模块,完成对只写寄存器的写操作。
要判断数据是否成功写入,必须读出寄存器的值来进行比对。由于寄存器的只写属性,无法通过寄存器读写总线接口对该寄存器进行读操作,UVM测试序列只有通过VPI获取DUT模块内部寄存器的值,进而跟之前写操作值比对,判断数据是否正确写入。
VPI接口读流程如图2所示,UVM测试序列通过DPI(直接编程接口)导入(import)C子程序uvm_hdl_read;UVM测试序列通过uvm_hdl_read(stringhdl_path,outputuvm_hdl_data_tvalue;string表示传入的hdl_path参数是字符串类型的,hdl_path存放的是寄存器层次路径;output表示后面的value变量是子程序返回值,uvm_hdl_data_t表示value的数据类型,该数据类型为uvm中定义的一种数据类型相当于寄存器型数据,value最终存储的是寄存器中的值)任务调用VPI接口的C函数vpi_get_value,根据该只写寄存器在DUT模块中的层次关系[或如图2所示的称为寄存器层次路径(hdl_path)]获取只写寄存器的值。上述已介绍UVM测试序列无法直接对DUT内部寄存器进行跨模块引用,这里将只写寄存器的层次结构(即层次关系)以字符串的形式传入VPI接口的C函数vpi_get_value,间接对DUT内部寄存器进行跨模块引用,从而获取只写寄存器中的值。
最后通过比较寄存器读写总线接口写入值和VPI接口读出值就可以判断对只写寄存器的写操作是否成功。
采用以上实现方法就可以方便地测试只写寄存器的只写属性。
以上通过具体实施方式对本发明进行了详细说明,但这些并非构成对本发明的限制。在不脱离本发明原理的情况下,本领域的技术人员还可做出许多变形和改进,这些也应视为本发明的保护范围。

Claims (8)

1.一种基于UVM验证方法学的只写寄存器验证测试平台,其特征在于,包括:
一基于UVM验证方法学的测试框架,其包括UVM测试序列和UVM序列发生器;
一待测设计模块,其为具有寄存器及寄存器读写总线接口的数字设计模块;
所述基于UVM验证方法学的测试框架通过寄存器读写总线接口与待测设计模块相连接;所述UVM测试序列能够调用UVM序列发生器,所述UVM序列发生器将测试需求通过寄存器读写总线接口激励待测设计模块;所述UVM测试序列通过VPI接口对待测设计模块内部信号进行访问。
2.如权利要求1所述的测试平台,其特征在于:所述UVM测试序列将待测只写寄存器地址、数据以及相关总线控制信号传递给UVM序列发生器;所述UVM序列发生器通过寄存器读写总线接口将上述信号按照约定的时序驱动待测设计模块,完成对只写寄存器的写操作。
3.如权利要求1或2所述的测试平台,其特征在于:通过比较寄存器读写总线接口写入值和VPI接口读出值,判断对只写寄存器的写操作是否成功。
4.如权利要求1或2所述的测试平台,其特征在于:通过VPI接口对待测设计模块只写寄存器进行读操作的过程是,
VM测试序列通过DPI接口导入C子程序;UVM测试序列通过C子程序任务调用VPI接口的C函数,根据该只写寄存器在待测设计模块中的层次关系获取只写寄存器的值。
5.一种基于UVM验证方法学的只写寄存器验证方法,其特征在于:基于UVM验证方法学的测试框架通过寄存器读写总线接口与待测设计模块相连接;所述基于UVM验证方法学的测试框架包括UVM测试序列和UVM序列发生器;所述UVM测试序列能够调用UVM序列发生器,所述UVM序列发生器将测试需求通过寄存器读写总线接口激励待测设计模块;所述UVM测试序列通过VPI接口对待测设计模块内部信号进行访问。
6.如权利要求1所述的方法,其特征在于:所述UVM测试序列将待测只写寄存器地址、数据以及相关总线控制信号传递给UVM序列发生器;所述UVM序列发生器通过寄存器读写总线接口将上述信号按照约定的时序驱动待测设计模块,完成对只写寄存器的写操作。
7.如权利要求5或6所述的方法,其特征在于:通过比较寄存器读写总线接口写入值和VPI接口读出值,判断对只写寄存器的写操作是否成功。
8.如权利要求5或6所述的测试平台,其特征在于:通过VPI接口对待测设计模块只写寄存器进行读操作的过程是,VM测试序列通过DPI接口导入C子程序;UVM测试序列通过C子程序任务调用VPI接口的C函数,根据该只写寄存器在待测设计模块中的层次关系获取只写寄存器的值。
CN201410373610.6A 2014-07-31 2014-07-31 基于uvm验证方法学的只写寄存器验证测试平台及验证方法 Pending CN105320583A (zh)

Priority Applications (1)

Application Number Priority Date Filing Date Title
CN201410373610.6A CN105320583A (zh) 2014-07-31 2014-07-31 基于uvm验证方法学的只写寄存器验证测试平台及验证方法

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
CN201410373610.6A CN105320583A (zh) 2014-07-31 2014-07-31 基于uvm验证方法学的只写寄存器验证测试平台及验证方法

Publications (1)

Publication Number Publication Date
CN105320583A true CN105320583A (zh) 2016-02-10

Family

ID=55248003

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201410373610.6A Pending CN105320583A (zh) 2014-07-31 2014-07-31 基于uvm验证方法学的只写寄存器验证测试平台及验证方法

Country Status (1)

Country Link
CN (1) CN105320583A (zh)

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN110377475A (zh) * 2019-07-03 2019-10-25 福州数据技术研究院有限公司 一种基于uvm的大规模存储器读写验证平台及其方法
CN110688821A (zh) * 2019-09-27 2020-01-14 北京中电华大电子设计有限责任公司 一种复杂算法的测试激励生成器及其控制方法
CN114721986A (zh) * 2022-06-09 2022-07-08 井芯微电子技术(天津)有限公司 基于通用验证方法的异构直接存储器访问验证方法及系统
CN115081366A (zh) * 2022-06-13 2022-09-20 杭州云合智网技术有限公司 寄存器突发访问的建模方法

Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN1725189A (zh) * 2004-07-20 2006-01-25 华为技术有限公司 芯片故障的检测方法
US20060168483A1 (en) * 2005-01-24 2006-07-27 Sherlock Derek A On-chip circuitry for bus validation
CN102591757A (zh) * 2011-01-05 2012-07-18 上海华虹集成电路有限责任公司 基于vmm的ic-usb接口模块验证方法及验证环境平台
CN102929686A (zh) * 2012-09-28 2013-02-13 杭州中天微系统有限公司 一种片上多核处理器功能验证方法
US8578309B2 (en) * 2012-01-31 2013-11-05 Taiwan Semiconductor Manufacturing Co., Ltd. Format conversion from value change dump (VCD) to universal verification methodology (UVM)
CN103530211A (zh) * 2013-10-12 2014-01-22 江苏华丽网络工程有限公司 一种基于uvm平台的pcie回环自检测的方法

Patent Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN1725189A (zh) * 2004-07-20 2006-01-25 华为技术有限公司 芯片故障的检测方法
US20060168483A1 (en) * 2005-01-24 2006-07-27 Sherlock Derek A On-chip circuitry for bus validation
CN102591757A (zh) * 2011-01-05 2012-07-18 上海华虹集成电路有限责任公司 基于vmm的ic-usb接口模块验证方法及验证环境平台
US8578309B2 (en) * 2012-01-31 2013-11-05 Taiwan Semiconductor Manufacturing Co., Ltd. Format conversion from value change dump (VCD) to universal verification methodology (UVM)
CN102929686A (zh) * 2012-09-28 2013-02-13 杭州中天微系统有限公司 一种片上多核处理器功能验证方法
CN103530211A (zh) * 2013-10-12 2014-01-22 江苏华丽网络工程有限公司 一种基于uvm平台的pcie回环自检测的方法

Non-Patent Citations (1)

* Cited by examiner, † Cited by third party
Title
张强: "《UVM实战(电子书)》", 1 July 2014, 机械工业出版社 *

Cited By (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN110377475A (zh) * 2019-07-03 2019-10-25 福州数据技术研究院有限公司 一种基于uvm的大规模存储器读写验证平台及其方法
CN110377475B (zh) * 2019-07-03 2022-05-17 福州数据技术研究院有限公司 一种基于uvm的大规模存储器读写验证平台及其方法
CN110688821A (zh) * 2019-09-27 2020-01-14 北京中电华大电子设计有限责任公司 一种复杂算法的测试激励生成器及其控制方法
CN110688821B (zh) * 2019-09-27 2023-10-13 北京中电华大电子设计有限责任公司 一种复杂算法的测试激励生成器及其控制方法
CN114721986A (zh) * 2022-06-09 2022-07-08 井芯微电子技术(天津)有限公司 基于通用验证方法的异构直接存储器访问验证方法及系统
CN115081366A (zh) * 2022-06-13 2022-09-20 杭州云合智网技术有限公司 寄存器突发访问的建模方法
CN115081366B (zh) * 2022-06-13 2024-04-05 云合智网(上海)技术有限公司 寄存器突发访问的建模方法

Similar Documents

Publication Publication Date Title
CN106133537B (zh) 一种fpga功能模块仿真验证方法及其系统
CN105205249B (zh) 一种soc调试验证系统及其软硬件协同方法
CN100573537C (zh) 一种soc芯片系统级验证系统及方法
CN106104698B (zh) 用于产生具有可编程延迟的动态随机存取存储器(dram)命令的存储器物理层接口逻辑
CN113835945B (zh) 芯片的测试方法、装置、设备及系统
CN104951334B (zh) FPGA双片QSPI flash的程序加载方法
CN105320583A (zh) 基于uvm验证方法学的只写寄存器验证测试平台及验证方法
CN103207338B (zh) 一种基于Python脚本的汽车电子测试平台
CN111221693B (zh) 一种NOR flash配置模块验证方法、系统、装置和存储介质
CN105070321B (zh) 存储器件的快速测试电路及方法
WO2016197711A1 (zh) 一种配置寄存器的方法和装置
CN105069227A (zh) 一种基于wishbone总线设计的功能验证平台的搭建方法
CN102522123A (zh) 利用数据产生器模块来提高存储设备读写测试效率的方法
CN104200846B (zh) 一种嵌入式prom测试系统及实现方法
CN102201022A (zh) 用于fpga验证的方法和装置
CN103996416A (zh) 一种可重用的ftl验证方法
CN103020395A (zh) 解复用接口模块的验证方法及验证系统
CN105446844A (zh) 基于uvm验证方法学的只读寄存器验证测试平台及验证方法
CN102565683B (zh) 一种测试向量的生成与验证方法
CN107704351B (zh) 一种芯片的验证方法和装置
CN102103186A (zh) 一种fpga调试方法及其设备
CN108628734A (zh) 一种功能程序调试方法和终端
CN102572591B (zh) 一种高级安全数字电视机顶盒生产方法及装置
CN107943644A (zh) 一种用于基于local bus总线的设计的功能验证平台的搭建方法
CN105243020B (zh) 一种适用于广域分布式实时数据库的自动化测试方法

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
WD01 Invention patent application deemed withdrawn after publication

Application publication date: 20160210

WD01 Invention patent application deemed withdrawn after publication