CN105183978A - 一种芯片设计阶段可靠性评估方法和装置 - Google Patents

一种芯片设计阶段可靠性评估方法和装置 Download PDF

Info

Publication number
CN105183978A
CN105183978A CN201510557112.1A CN201510557112A CN105183978A CN 105183978 A CN105183978 A CN 105183978A CN 201510557112 A CN201510557112 A CN 201510557112A CN 105183978 A CN105183978 A CN 105183978A
Authority
CN
China
Prior art keywords
bsim
chip
device model
module
reliability
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CN201510557112.1A
Other languages
English (en)
Other versions
CN105183978B (zh
Inventor
陈燕宁
赵东艳
张海峰
付振
李伯海
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
State Grid Corp of China SGCC
State Grid Information and Telecommunication Co Ltd
State Grid Zhejiang Electric Power Co Ltd
Beijing Smartchip Microelectronics Technology Co Ltd
Beijing Core Kejian Technology Co Ltd
Original Assignee
State Grid Corp of China SGCC
Beijing Smartchip Microelectronics Technology Co Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by State Grid Corp of China SGCC, Beijing Smartchip Microelectronics Technology Co Ltd filed Critical State Grid Corp of China SGCC
Priority to CN201510557112.1A priority Critical patent/CN105183978B/zh
Publication of CN105183978A publication Critical patent/CN105183978A/zh
Application granted granted Critical
Publication of CN105183978B publication Critical patent/CN105183978B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Landscapes

  • Design And Manufacture Of Integrated Circuits (AREA)
  • Semiconductor Integrated Circuits (AREA)

Abstract

本发明公开了一种芯片设计阶段可靠性评估方法和装置,其中,该方法包括:根据确定的芯片功能划分功能模块,并根据所述功能模块的需求进行网表设计;根据BSIM器件模型对所述网表进行前仿真,当前仿真结果满足所述功能模块的需求时,进行版图绘制;在版图绘制完成后,提取布线后的寄生的电容和电阻,根据BSIM器件模型对提取后的网表进行后仿真;当后仿真结果满足所述功能模块的需求时,根据预先建立的老化BSIM器件模型再次进行仿真;当再次仿真结果满足所述功能模块的需求时,则进行制版流片。本发明的芯片设计阶段可靠性评估方法和装置,与传统的开发流程相比,可以缩小产品的开发周期,减少修改光刻板的次数,进而降低开发成本。

Description

一种芯片设计阶段可靠性评估方法和装置
技术领域
本发明涉及芯片设计和产品可靠性评估技术领域,具体地,涉及一种芯片设计阶段可靠性评估方法和装置。
背景技术
随着CMOS的特征尺寸已经进入了深亚微米阶段,其元器件密度、工作速度以及集成电路规模逐渐增加,集成电路的能耗密度越来越大,导致片上温度越来越高,从而带来的发热问题对集成电路的影响也日益严重。集成电路的功耗密度和工作温度的提高必然造成集成电路性能和可靠性的降低。对于复杂的芯片如何能够对其长期工作的可靠性进行评估就成为一个重要的问题。
当工艺特征较小时候(制造工艺<45nm)芯片的功耗和可靠性之间的矛盾就越发的尖锐,传统的设计流程先设计后可靠性,可靠性失效再修改版图,如此反复直到产品开发成功。基于传统的设计流程已经大大不能满足产品开发的需求,建立芯片在设计阶段失效评估的方法能大大的缩短产品的开发周期。
随着CMOS的特征尺寸已经进入了深亚微米阶段,其元器件密度、工作速度以及芯片规模逐渐增加,芯片的能耗密度越来越大,导致片上温度越来越高,将会造成芯片可靠性恶劣退化,继而严重影响芯片整体性能。然而,智能电网终端设备一般安装于室外,其核心芯片不可避免会遭受超高/低温、盐雾、高湿度、强电磁辐射等恶劣自然环境的影响,这对电力工业级芯片在保障其24小时不断电稳定运行10年以上的高可靠性要求而言,将会面临巨大的挑战。
发明内容
为了解决现有技术中存在的无法对芯片设计阶段进行可靠性评估的技术问题,本发明提出了一种芯片设计阶段可靠性评估方法和装置。
本发明的芯片设计阶段可靠性评估方法,包括:
根据确定的芯片功能划分功能模块,并根据所述功能模块的需求进行网表设计;
根据BSIM器件模型对所述网表进行前仿真,当前仿真结果满足所述功能模块的需求时,进行版图绘制;
在版图绘制完成后,提取布线后的寄生的电容和电阻,根据BSIM器件模型对提取后的网表进行后仿真;
当后仿真结果满足所述功能模块的需求时,根据预先建立的老化BSIM器件模型再次进行仿真;
当再次仿真结果满足所述功能模块的需求时,则进行制版流片。
本发明的芯片设计阶段可靠性评估方法,基于传统的器件老化方法和现有工业标准的BSIM器件模型,将两者相互结合起来,在芯片设计阶段就能评估出芯片的寿命;与传统的开发流程相比较,可以大大的缩小产品的开发周期,减少修改光刻板的次数,进而降低开发成本。
本发明的芯片设计阶段可靠性评估装置,包括:
网表设计模块,用于根据确定的芯片功能划分功能模块,并根据所述功能模块的需求进行网表设计;
前仿真模块,用于根据BSIM器件模型对所述网表进行前仿真,当前仿真结果满足所述功能模块的需求时,进行版图绘制;
后仿真模块,用于在版图绘制完成后,提取布线后的寄生的电容和电阻,根据BSIM器件模型对提取后的网表进行后仿真;
再次仿真模块,用于当后仿真结果满足所述功能模块的需求时,根据预先建立的老化BSIM器件模型再次进行仿真;
制版流片模块,用于当再次仿真结果满足所述功能模块的需求时,则进行制版流片。
本发明的芯片设计阶段可靠性评估装置,基于传统的器件老化方法和现有工业标准的BSIM器件模型,将两者相互结合起来,在芯片设计阶段就能评估出芯片的寿命;与传统的开发流程相比较,可以大大的缩小产品的开发周期,减少修改光刻板的次数,进而降低开发成本。
本发明的其它特征和优点将在随后的说明书中阐述,并且,部分地从说明书中变得显而易见,或者通过实施本发明而了解。本发明的目的和其他优点可通过在所写的说明书、权利要求书、以及附图中所特别指出的结构来实现和获得。
下面通过附图和实施例,对本发明的技术方案做进一步的详细描述。
附图说明
附图用来提供对本发明的进一步理解,并且构成说明书的一部分,与本发明的实施例一起用于解释本发明,并不构成对本发明的限制。在附图中:
图1为本发明实施例一的方法流程图;
图2为本发明实施例二的方法流程图;
图3为本发明实施例三的方法流程图;
图4为本发明实施例四的装置结构示意图。
具体实施方式
下面结合附图,对本发明的具体实施方式进行详细描述,但应当理解本发明的保护范围并不受具体实施方式的限制。
为了解决现有技术中存在的无法对芯片设计阶段进行可靠性评估的技术问题,本发明提出了一种芯片设计阶段可靠性评估方法和装置。为了能研发出高可靠性的电力级工业芯片,基于如图1所示的传统的芯片开发流程,提出了一种老化BSIM(Berkeleyshort-channelIGFETmodel,伯克利短沟道绝缘栅场效应晶体管模型)器件模型的方法,将该老化BSIM器件模型用于芯片设计阶段的仿真,以此建立芯片寿命预测系统,在芯片设计阶段就可以完成芯片寿命的评估,即如图2所示。
BSIM器件模型是由美国加利福尼亚州伯克利分校开发的,用于测试电路仿真和CMOS技术发展(CMOStechnologydevelopment)的一种基于物理的,具有精确性、可升级性、健壮性、语言性等特点的软件模拟系统,能提供标准电路的直流分析,瞬时分析,交流分析等数据。BSIM器件模型是测试电路仿真的一种工业标准,并得到了EIACompactModelcouncil(CMC)的支持。CMC是包含多达20个知名企业的联合组织,其中包括IBM、Intel、TI、Motorola、AMD、Philips等知名IT企业。
BSIM器件模型是一个成熟的工业标准仿真模型,在衬底电阻网络、隧穿电流、饱和电流原理和应力模型等方面有一系列的功能增强以支持技术进步的需求。
实施例一
如图1所示,传统的芯片开发流程包括:
步骤101:首先要进行芯片的市场调研,确定芯片的功能,根据芯片的功能划分功能模块;依据定义好的功能模块的功能进行电路的网表设计,在电子设计自动化中,网表(netlist),或称连线表,是指用基础的逻辑门来描述数字电路连接情况的描述方式。
步骤102:将BSIM器件模型导入网表中进行电路的仿真,此步骤为前仿真;根据BSIM器件模型对网表设计阶段的电路进行仿真的步骤为芯片设计领域的公知常识,在相关工业标准中有详细描述,在此不再赘述。
步骤103:判断前仿真结果是否能满足功能模块的需求,如果能则转入步骤104;如果不能则返回步骤101,修改电路网表进而再次仿真,直到能够满足所定义的功能模块的需求。
步骤104:进行版图绘制,即根据网表的连接关系、电流、功耗等边界条件绘制版图。
步骤105:在版图绘制完成后,提取布线后的寄生的电容和电阻;
步骤106:根据BSIM器件模型对提取后的网表进行再次仿真,此步骤称为后仿真;后仿真与前仿真的操作步骤类似,区别主要在于仿真的时间节点不同。
步骤107:判断后仿真结果是否如果满足功能模块的需求,如果满足则转入步骤108;如果不满足将转入步骤104修改版图,直到后仿真结果能够满足功能模块的需求;
步骤108:进行制版流片,像流水线一样通过一系列工艺步骤制造芯片的过程,这就是流片。
步骤109:最后流片后,将芯片封装并进行产品可靠性试验。产品可靠性试验是为了解、评价、分析和提高产品的可靠性而进行的各种试验的总称。产品可靠性试验的目的是:发现产品在设计、材料和工艺等方面的各种缺陷,经分析和改进,使产品可靠性逐步得到增长,最终达到预定的可靠性水平;为改善产品的战备完好性、提高任务成功率、减少维修保障费用提供信息;确认是否符合规定的可靠性定量要求。
步骤110:如果产品可靠性试验通过,产品发布,研发完成;如果产品可靠性试验未通过则转入步骤101或步骤104。
由上述方法可以看出,在传统的芯片开发流程中,芯片设计阶段并不包括可靠性的评估,如果产品可靠性试验不能通过的就需要重新芯片设计,会对产品的上市时间造成很大的影响。
实施例二
如图2所示,本发明的提出的芯片设计阶段可靠性评估方法,包括:
步骤201:首先要进行芯片的市场调研,确定芯片的功能,根据芯片的功能划分功能模块;依据定义好的功能模块的功能进行电路的网表设计,在电子设计自动化中,网表(netlist),或称连线表,是指用基础的逻辑门来描述数字电路连接情况的描述方式。
步骤202:将BSIM器件模型导入网表中进行电路的仿真,此步骤为前仿真;根据BSIM器件模型对网表设计阶段的电路进行仿真的步骤为芯片设计领域的公知常识,在相关工业标准中有详细描述,在此不再赘述。
步骤203:判断前仿真结果是否能满足功能模块的需求,如果能则转入步骤204;如果不能则返回步骤201,修改电路网表进而再次仿真,直到能够满足所定义的功能模块的需求。
步骤204:进行版图绘制,即根据网表的连接关系、电流、功耗等边界条件绘制版图。
步骤205:在版图绘制完成后,提取布线后的寄生的电容和电阻;
步骤206:根据BSIM器件模型对提取后的网表进行再次仿真,此步骤称为后仿真;后仿真与前仿真的操作步骤类似,区别主要在于仿真的时间节点不同。
步骤207:判断后仿真结果是否如果满足功能模块的需求,如果满足则转入步骤208;如果不满足将转入步骤204修改版图,直到后仿真结果能够满足功能模块的需求;
步骤208:在后仿真结果满足功能模块的需求时,根据老化BSIM器件模型再次仿真。
步骤209:判断步骤208中的仿真结果是否满足功能模块的需求,如果满足则转入步骤210;如果不满足则转入步骤201或者步骤204。
步骤210:进行制版流片,像流水线一样通过一系列工艺步骤制造芯片的过程,这就是流片。
步骤211:最后流片后,将芯片封装并进行产品可靠性试验。产品可靠性试验是为了解、评价、分析和提高产品的可靠性而进行的各种试验的总称。产品可靠性试验的目的是:发现产品在设计、材料和工艺等方面的各种缺陷,经分析和改进,使产品可靠性逐步得到增长,最终达到预定的可靠性水平;为改善产品的战备完好性、提高任务成功率、减少维修保障费用提供信息;确认是否符合规定的可靠性定量要求。
步骤212:如果产品可靠性试验通过,产品发布,研发完成;如果产品可靠性试验未通过则转入步骤201或步骤204。
本发明的芯片设计阶段可靠性评估方法,基于传统的器件老化方法和现有工业标准的BSIM器件模型,将两者相互结合起来,在芯片设计阶段就能评估出芯片的寿命;与传统的开发流程相比较,可以大大的缩小产品的开发周期,减少修改光刻板的次数,进而降低开发成本。
实施例三
以下对老化BSIM器件模型的构建方法进行详细描述,并可根据老化BSIM器件模型,基于微电子行业的失效标准(器件特性衰减10%)建立寿命预测模型,该寿命预测模型综合考虑了热载流子注入、负温度偏置不稳定效应、氧化膜的经时击穿等失效模式。
根据测试器件的特性参数退化量(衰减程度)与应力时间来确定在相应应力条件下的器件寿命,找到器件寿命与某种应力变量的关系,定出所需的常数,从而外推到正常工作条件下的寿命,也即通过老化试验,得出器件的特性在特定工作下随时间变化的关系,通过关系式(即芯片测试时间—芯片寿命的关系)就可以计算器件在不同的工作条件下、工作多长时间器件的特性能衰减多少。
应用传统BSIM器件模型可以推算出器件寿命,但是无法对芯片整体功能进行寿命预测。寿命预测模型是通过器件老化试验提取器件特性在特定工作下随时间变化的关系而建立的,不仅能够在可靠性工程师总结出的失效标准基础上预测器件的寿命,而且通过建立与时间相关的老化BSIM器件模型(将器件随时间衰退的特性嵌入到BSIM器件模型中),完成对电路的可靠性预测,进而建立寿命预测模型,也就是说,寿命预测模型是通过老化BSIM器件模型来实现的。
如图3所示,建立老化BSIM器件模型的方法包括:
步骤301:首先对测试器件(芯片)进行晶圆级老化试验,包括热载流子注入、负温度偏置不稳定效应、氧化膜的经时击穿等可靠性测试项目,如图3所示,在测试中需要对器件的每一种失效模式(热载流子注入、负温度偏置不稳定效应、氧化膜的经时击穿等器件性能衰退10%或以上时)进行不同应力的老化测试,包括温度、温度梯度、电压、电流等应力条件。
步骤302:分别对热载流子注入、负温度偏置不稳定效应、氧化膜的经时击穿效应后测试器件的特性(包括阈值电压、饱和电流、跨导等参量,不限于此)进行拟合,提取出相应失效模式的加速因子,即包括温度、温度梯度、电压、电流等;
步骤303:根据不同失效模式下提取出来的加速因子,计算出测试器件的各特性(包括阈值电压、饱和电流、跨导等参量,不限于此)随使用时间变化的衰退的程度,比如阈值电压从A退化到B随使用时间变化的特征曲线。器件特性退化到预先设定比例时所对应的时间,即老化时间,并根据老化时间—芯片寿命的关系,即能够得到器件的工作寿命,也就是揭示了老化时间与测试器件工作寿命的关系。
基于上述得出的不同应力条件下,老化时间与测试器件工作寿命的关系,根据不同失效模式下提取出来的加速因子,计算出测试器件特性(如阈值电压,饱和电流,跨导等参量)随时间变化的参量,也就是在与器件寿命对应的老化时间点的器件特性参量;
步骤304:根据步骤S303计算得出的器件特性参量,对传统的BSIM器件模型进行修正,获得老化BSIM器件模型。也就是将测试器件的老化因素(如阈值电压,饱和电流,跨导等参量等)考虑到传统BSIM模型中去,该步骤通过加速老化时间的不同,提取工作寿命为5年、10年或20年的器件参数,建立适用于寿命预测的老化器件BSIM器件模型。
实施例四
本发明还提出了一种芯片设计阶段可靠性评估装置,如图4所示,包括:
网表设计模块41,用于根据确定的芯片功能划分功能模块,并根据所述功能模块的需求进行网表设计;
前仿真模块42,用于根据BSIM器件模型对所述网表进行前仿真,当前仿真结果满足所述功能模块的需求时,进行版图绘制;
后仿真模块43,用于在版图绘制完成后,提取布线后的寄生的电容和电阻,根据BSIM器件模型对提取后的网表进行后仿真;
再次仿真模块44,用于当后仿真结果满足所述功能模块的需求时,根据预先建立的老化BSIM器件模型再次进行仿真;
制版流片模块45,用于当再次仿真结果满足所述功能模块的需求时,则进行制版流片。
试验判断模块46,用于对制版流片后的芯片进行封装,并进行产品可靠性试验,判断所述产品可靠性试验是否通过;
判断执行模块47,用于如果通过,则完成设计和研发;如果未通过,则重新进行所述网表设计或版图绘制。
优选的,建立所述老化BSIM模型包括:
对测试器件进行多种可靠性测试项目下不同应力的晶圆级老化测试;
分别对多种可靠性测试后测试器件的特性进行拟合,提取出相应失效模式的加速因子;
根据不同失效模式下提取出来的加速因子,计算出测试器件的各特性随使用时间变化的特性;
根据不同失效模式下提取出来的加速因子,计算出测试器件特性随时间变化的参量;
根据计算得出的器件特性参量,对传统的BSIM器件模型进行修正,获得老化BSIM器件模型。
优选的,所述可靠性测试包括以下任意一种或多种:热载流子注入、负温度偏置不稳定效应、氧化膜的经时击穿。
优选的,所述不同应力、特性分别包括以下任意一种或多种:温度、温度梯度、电压、电流。
本发明的芯片设计阶段可靠性评估装置,基于传统的器件老化方法和现有工业标准的BSIM器件模型,将两者相互结合起来,在芯片设计阶段就能评估出芯片的寿命;与传统的开发流程相比较,可以大大的缩小产品的开发周期,减少修改光刻板的次数,进而降低开发成本。
本发明能有多种不同形式的具体实施方式,上面以图1-图4为例结合附图对本发明的技术方案作举例说明,这并不意味着本发明所应用的具体实例只能局限在特定的流程或实施例结构中,本领域的普通技术人员应当了解,上文所提供的具体实施方案只是多种优选用法中的一些示例,任何体现本发明权利要求的实施方式均应在本发明技术方案所要求保护的范围之内。
最后应说明的是:以上所述仅为本发明的优选实施例而已,并不用于限制本发明,尽管参照前述实施例对本发明进行了详细的说明,对于本领域的技术人员来说,其依然可以对前述各实施例所记载的技术方案进行修改,或者对其中部分技术特征进行等同替换。凡在本发明的精神和原则之内,所作的任何修改、等同替换、改进等,均应包含在本发明的保护范围之内。

Claims (10)

1.一种芯片设计阶段可靠性评估方法,其特征在于,包括:
根据确定的芯片功能划分功能模块,并根据所述功能模块的需求进行网表设计;
根据BSIM器件模型对所述网表进行前仿真,当前仿真结果满足所述功能模块的需求时,进行版图绘制;
在版图绘制完成后,提取布线后的寄生的电容和电阻,根据BSIM器件模型对提取后的网表进行后仿真;
当后仿真结果满足所述功能模块的需求时,根据预先建立的老化BSIM器件模型再次进行仿真;
当再次仿真结果满足所述功能模块的需求时,则进行制版流片。
2.根据权利要求1所述的方法,其特征在于,还包括:
对制版流片后的芯片进行封装,并进行产品可靠性试验,判断所述产品可靠性试验是否通过;
如果通过,则完成设计和研发;如果未通过,则重新进行所述网表设计或版图绘制。
3.根据权利要求1或2所述的方法,其特征在于,建立所述老化BSIM模型包括:
对测试器件进行多种可靠性测试项目下不同应力的晶圆级老化测试;
分别对多种可靠性测试后测试器件的特性进行拟合,提取出相应失效模式的加速因子;
根据不同失效模式下提取出来的加速因子,计算出测试器件的各特性随使用时间变化的特性;
根据不同失效模式下提取出来的加速因子,计算出测试器件特性随时间变化的参量;
根据计算得出的器件特性参量,对传统的BSIM器件模型进行修正,获得老化BSIM器件模型。
4.根据权利要求3所述的方法,其特征在于,所述可靠性测试包括以下任意一种或多种:热载流子注入、负温度偏置不稳定效应、氧化膜的经时击穿。
5.根据权利要求3所述的方法,其特征在于,所述不同应力、特性分别包括以下任意一种或多种:温度、温度梯度、电压、电流。
6.一种芯片设计阶段可靠性评估装置,其特征在于,包括:
网表设计模块,用于根据确定的芯片功能划分功能模块,并根据所述功能模块的需求进行网表设计;
前仿真模块,用于根据BSIM器件模型对所述网表进行前仿真,当前仿真结果满足所述功能模块的需求时,进行版图绘制;
后仿真模块,用于在版图绘制完成后,提取布线后的寄生的电容和电阻,根据BSIM器件模型对提取后的网表进行后仿真;
再次仿真模块,用于当后仿真结果满足所述功能模块的需求时,根据预先建立的老化BSIM器件模型再次进行仿真;
制版流片模块,用于当再次仿真结果满足所述功能模块的需求时,则进行制版流片。
7.根据权利要求6所述的装置,其特征在于,还包括:
试验判断模块,用于对制版流片后的芯片进行封装,并进行产品可靠性试验,判断所述产品可靠性试验是否通过;
判断执行模块,用于如果通过,则完成设计和研发;如果未通过,则重新进行所述网表设计或版图绘制。
8.根据权利要求6或7所述的装置,其特征在于,建立所述老化BSIM模型包括:
对测试器件进行多种可靠性测试项目下不同应力的晶圆级老化测试;
分别对多种可靠性测试后测试器件的特性进行拟合,提取出相应失效模式的加速因子;
根据不同失效模式下提取出来的加速因子,计算出测试器件的各特性随使用时间变化的特性;
根据不同失效模式下提取出来的加速因子,计算出测试器件特性随时间变化的参量;
根据计算得出的器件特性参量,对传统的BSIM器件模型进行修正,获得老化BSIM器件模型。
9.根据权利要求8所述的装置,其特征在于,所述可靠性测试包括以下任意一种或多种:热载流子注入、负温度偏置不稳定效应、氧化膜的经时击穿。
10.根据权利要求8所述的装置,其特征在于,所述不同应力、特性分别包括以下任意一种或多种:温度、温度梯度、电压、电流。
CN201510557112.1A 2015-09-02 2015-09-02 一种芯片设计阶段可靠性评估方法和装置 Active CN105183978B (zh)

Priority Applications (1)

Application Number Priority Date Filing Date Title
CN201510557112.1A CN105183978B (zh) 2015-09-02 2015-09-02 一种芯片设计阶段可靠性评估方法和装置

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
CN201510557112.1A CN105183978B (zh) 2015-09-02 2015-09-02 一种芯片设计阶段可靠性评估方法和装置

Publications (2)

Publication Number Publication Date
CN105183978A true CN105183978A (zh) 2015-12-23
CN105183978B CN105183978B (zh) 2019-01-01

Family

ID=54906057

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201510557112.1A Active CN105183978B (zh) 2015-09-02 2015-09-02 一种芯片设计阶段可靠性评估方法和装置

Country Status (1)

Country Link
CN (1) CN105183978B (zh)

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN111783380A (zh) * 2020-06-28 2020-10-16 通富微电子股份有限公司技术研发分公司 一种封装器件的设计方法和实体封装器件
CN113361227A (zh) * 2021-06-22 2021-09-07 无锡江南计算技术研究所 一种封装与印制板级分布式电源压降仿真方法
CN113805044A (zh) * 2021-11-16 2021-12-17 北京智芯微电子科技有限公司 一种芯片可靠性评估方法、装置及芯片

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN102866349A (zh) * 2011-07-05 2013-01-09 中国科学院微电子研究所 集成电路测试方法
CN103942354A (zh) * 2013-12-16 2014-07-23 中国航空综合技术研究所 一种基于仿真技术的半导体器件电迁移失效测试方法

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN102866349A (zh) * 2011-07-05 2013-01-09 中国科学院微电子研究所 集成电路测试方法
CN103942354A (zh) * 2013-12-16 2014-07-23 中国航空综合技术研究所 一种基于仿真技术的半导体器件电迁移失效测试方法

Non-Patent Citations (4)

* Cited by examiner, † Cited by third party
Title
XIAOJUN LI等: "《A new SPICE reliability simulation method for deep submicrometer CMOS VLSI circuits》", 《IEEE TRANSACTIONS ON DEVICE AND MATERIALS RELIABILITY》 *
李康: "《超深亚微米集成电路可靠性设计与建模方法》", 《中国博士学位论文全文数据库 信息科技辑》 *
王文智等: "《可靠性仿真技术在电路设计中的应用与分析》", 《舰船电子工程》 *
禹玥昀等: "《一种新的SPICE BSIM3v3 HCI可靠性模型的建立及参数优化》", 《电子器件》 *

Cited By (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN111783380A (zh) * 2020-06-28 2020-10-16 通富微电子股份有限公司技术研发分公司 一种封装器件的设计方法和实体封装器件
CN113361227A (zh) * 2021-06-22 2021-09-07 无锡江南计算技术研究所 一种封装与印制板级分布式电源压降仿真方法
CN113361227B (zh) * 2021-06-22 2022-11-15 无锡江南计算技术研究所 一种封装与印制板级分布式电源压降仿真方法
CN113805044A (zh) * 2021-11-16 2021-12-17 北京智芯微电子科技有限公司 一种芯片可靠性评估方法、装置及芯片
CN113805044B (zh) * 2021-11-16 2022-03-08 北京智芯微电子科技有限公司 一种芯片可靠性评估方法、装置及芯片

Also Published As

Publication number Publication date
CN105183978B (zh) 2019-01-01

Similar Documents

Publication Publication Date Title
US8694933B2 (en) Methods, systems, and articles of manufacture for implementing electronic circuit designs with simulation awareness
US7958475B2 (en) Synthesis of assertions from statements of power intent
CN105138774B (zh) 一种基于集成电路层次化设计的时序后仿真方法
US8117576B2 (en) Method for using an equivalence checker to reduce verification effort in a system having analog blocks
US8234617B2 (en) Method and system for re-using digital assertions in a mixed signal design
US10691857B2 (en) Computer implemented system and method of identification of useful untested states of an electronic design
US8656331B1 (en) Timing margins for on-chip variations from sensitivity data
CN103150441B (zh) 一种软硬件协同仿真的验证平台及其构建方法
US8719752B1 (en) Hierarchical crosstalk noise analysis model generation
Li et al. A new SPICE reliability simulation method for deep submicrometer CMOS VLSI circuits
CN105183978A (zh) 一种芯片设计阶段可靠性评估方法和装置
CN105279345A (zh) 一种航天器用数字软ip核评测方法
CN105069258A (zh) 一种芯片设计可靠性的评估方法及装置
CN116298830A (zh) 集成电路的验证方法及处理系统
Chalkiadaki et al. Why‐and how‐to integrate Verilog‐A compact models in SPICE simulators
US9529962B1 (en) System and method for generating and using sibling nets model for shared delay calculation across multi-instantiated blocks in the circuit design
CN113723045A (zh) 数字集成电路的设计方法
CN105808839A (zh) 一种电路路径的测试覆盖率分析方法
US10216887B1 (en) Methods, systems, and computer program products for implementing an electronic design with time varying resistors in power gating analysis
US20220065931A1 (en) Method for eliminating fake faults in gate-level simulation
WO2012015702A1 (en) Methods, systems, and articles of manufacture for implementing electronic circuit designs with simulation awareness
CN112329374B (zh) 一种针对大规模电路的单粒子效应快速仿真方法
Fang et al. SoC power analysis framework and its application to power-thermal co-simulation
US11334697B1 (en) Methods, systems, and computer program product for characterizing an electronic design with efficient cell cloning
US10628545B2 (en) Providing guidance to an equivalence checker when a design contains retimed registers

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
CB03 Change of inventor or designer information

Inventor after: Chen Yanning

Inventor after: Zhao Dongyan

Inventor after: Zhang Haifeng

Inventor after: Fu Zhen

Inventor after: Li Bohai

Inventor after: Jin Wende

Inventor before: Chen Yanning

Inventor before: Zhao Dongyan

Inventor before: Zhang Haifeng

Inventor before: Fu Zhen

Inventor before: Li Bohai

TA01 Transfer of patent application right

Effective date of registration: 20170630

Address after: 100192 Beijing, Haidian District West Road, No. 66, Zhongguancun Dongsheng science and Technology Park, building A, building No. 3

Applicant after: BEIJING SMARTCHIP MICROELECTRONICS TECHNOLOGY Co.,Ltd.

Applicant after: State Grid Corporation of China

Applicant after: STATE GRID INFORMATION & TELECOMMUNICATION GROUP Co.,Ltd.

Applicant after: STATE GRID ZHEJIANG ELECTRIC POWER Co.

Address before: 100192 Beijing city Haidian District West Road No. 66 small Zhongguancun Dongsheng Technology Park C District No. 2 building 305 room

Applicant before: BEIJING SMARTCHIP MICROELECTRONICS TECHNOLOGY Co.,Ltd.

Applicant before: State Grid Corporation of China

GR01 Patent grant
GR01 Patent grant
CP01 Change in the name or title of a patent holder

Address after: 100192 building 3, A District, Dongsheng science and Technology Park, Zhongguancun, 66 Haidian District West Road, Beijing.

Patentee after: BEIJING SMARTCHIP MICROELECTRONICS TECHNOLOGY Co.,Ltd.

Patentee after: STATE GRID CORPORATION OF CHINA

Patentee after: STATE GRID INFORMATION & TELECOMMUNICATION GROUP Co.,Ltd.

Patentee after: STATE GRID ZHEJIANG ELECTRIC POWER Co.,Ltd.

Address before: 100192 building 3, A District, Dongsheng science and Technology Park, Zhongguancun, 66 Haidian District West Road, Beijing.

Patentee before: BEIJING SMARTCHIP MICROELECTRONICS TECHNOLOGY Co.,Ltd.

Patentee before: State Grid Corporation of China

Patentee before: STATE GRID INFORMATION & TELECOMMUNICATION GROUP Co.,Ltd.

Patentee before: STATE GRID ZHEJIANG ELECTRIC POWER Co.

CP01 Change in the name or title of a patent holder
TR01 Transfer of patent right

Effective date of registration: 20210127

Address after: 100192 building 3, A District, Dongsheng science and Technology Park, Zhongguancun, 66 Haidian District West Road, Beijing.

Patentee after: BEIJING SMARTCHIP MICROELECTRONICS TECHNOLOGY Co.,Ltd.

Patentee after: Beijing core Kejian Technology Co.,Ltd.

Patentee after: STATE GRID CORPORATION OF CHINA

Patentee after: STATE GRID INFORMATION & TELECOMMUNICATION GROUP Co.,Ltd.

Patentee after: STATE GRID ZHEJIANG ELECTRIC POWER Co.,Ltd.

Address before: 100192 building 3, A District, Dongsheng science and Technology Park, Zhongguancun, 66 Haidian District West Road, Beijing.

Patentee before: BEIJING SMARTCHIP MICROELECTRONICS TECHNOLOGY Co.,Ltd.

Patentee before: STATE GRID CORPORATION OF CHINA

Patentee before: STATE GRID INFORMATION & TELECOMMUNICATION GROUP Co.,Ltd.

Patentee before: STATE GRID ZHEJIANG ELECTRIC POWER Co.,Ltd.

TR01 Transfer of patent right