CN104882159A - 一种近阈值8管静态随机存储器单元 - Google Patents

一种近阈值8管静态随机存储器单元 Download PDF

Info

Publication number
CN104882159A
CN104882159A CN201510251343.XA CN201510251343A CN104882159A CN 104882159 A CN104882159 A CN 104882159A CN 201510251343 A CN201510251343 A CN 201510251343A CN 104882159 A CN104882159 A CN 104882159A
Authority
CN
China
Prior art keywords
nmos tube
pipe
pmos
nmos transistor
drain electrode
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
CN201510251343.XA
Other languages
English (en)
Inventor
蒋承志
叶佐昌
王燕
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Tsinghua University
Original Assignee
Tsinghua University
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tsinghua University filed Critical Tsinghua University
Priority to CN201510251343.XA priority Critical patent/CN104882159A/zh
Publication of CN104882159A publication Critical patent/CN104882159A/zh
Pending legal-status Critical Current

Links

Landscapes

  • Static Random-Access Memory (AREA)

Abstract

本发明涉及一种近阈值8静态随机存储器单元,属于集成电路设计领域。该单元结构包括由第一NMOS管和第一PMOS管组成第一反相器,由第二NMOS管和第二PMOS管组成第二反相器,该两个反相器串行相连后的输入端和输出端分别连接第三PMOS管的源极和漏极组成锁存器结构;第三NMOS管的源极和漏极分别连接位线BL和数据存储点L,第二NMOS管的栅极和第三PMOS管的栅极共同连接至写字线WWL,第四NMOS管的漏极和源极分别连接位线BR和第五NMOS管的源极,第四NMOS管的栅极连接至读字线RWL,第五NMOS管的栅极连接至数据存储点R,第五NMOS管漏极连接至地gnd。该结构可以增强SRAM在近阈值工作电压下的稳定性和读写能力,同时降低功了耗,无下拉比等尺寸约束进而简化设计难度,且无面积牺牲的代价。

Description

一种近阈值8管静态随机存储器单元
技术领域
本发明属于集成电路设计技术领域,涉及一种工作在近阈值工作电压下的新型静态随机存储器(SRAM)单元拓扑结构设计,尤其涉及该结构新颖的读写操作分离方案和独特的写能力增强方案。
背景技术
随着MOS制造工艺的不断进步和集成度的提高,晶体管的尺寸变得越来越小,在现代集成电路设计中,电路的功耗已经成为一个巨大挑战。在降低功耗的方法中,最直接的方法就是降低工作电压。使电路能够工作在近阈值区域已经成为一种发展趋势。
静态随机存储器(SRAM)是现在微处理器的关键组件,它的面积、功耗、稳定性将决定性的影响整个芯片系统。为了满足低功耗的性能要求,近阈值电源电压技术被应用到SRAM的阵列级设计中。然而,在近阈值工作电压下传统的SRAM单元结构对噪声越来越敏感,这直接的降低了SRAM的稳定性并增加了漏电。同时,更小的工艺尺寸所带来的不确定性比如工艺浮动,在近阈值工作电压下变得更加明显,显著地导致了晶体管参数产生随机偏差从而进一步影响SRAM的稳定性和成品率。在一个片上系统(SoC)中,SRAM拥有最小的特征尺寸,因此相比于其它电路结构(组合逻辑单元等),SRAM更加容易失效。
对于SRAM这样由大量重复SRAM单元所构成的电路结构往往需要很低的失效率以保证整个芯片系统处于可接受的良率范围,即对SRAM单元性能要求是十分严格。综上,保证SRAM单元能够工作在近阈值工作电压下已经成为了一种发展趋势。
传统的6管SRAM单元读写操作采用的是直接存取机理。其SRAM单元拓扑结构如图1所示,6管SRAM单元电路结构包括2个PMOS管、4个NMOS管,一组位线BL和BR、写字线WWL;其中由两个交叉耦合的反相器(即由NMOS管N1、PMOS管P1构成一个反相器,由NMOS管N2、PMOS管P2构成另一个反相器)组成的锁存器和两个传输NMOS管N3和N4连接而成,其中两个交叉耦合反相器的输入端和输出端交叉互连接,互连后的两个节点对应的是单元的数据存储点L和R,两个传输NMOS管的栅极连接到字线WWL,源极和漏极分别连接数据存储点L和R和对应的位线BL和BR。在由大量重复SRAM单元构成阵列规模的SRAM中,位于同一列的SRAM单元共用同一组位线BL和BR,位于同一行的单元共用同一个字线WWL。上述6管SRAM单元采用的是对称结构,因此往往将6个管子分成三组考虑,即上拉PMOS管P1和P2,下拉NMOS管N1和N2以及传输NMOS管N3和N4。在读操作的过程中,数据存储点通过传输晶体管与位线相连形成放电支路,由于分压和外部噪声的影响,存储的数据很不稳定,这就是“读破坏”现象。为了避免这一现象,一般对传输NMOS管和下拉NMOS管有严格的尺寸约束:
CR通常被称为下拉比,它是传统6管SRAM单元中很重要的一个参数,简单地理解就是下拉管和传输管在读操作过程中的放电支路上分压的限制,即下拉管的电阻要小于传输管的电阻,这样才能保证数据存储点电位不会被拉高。一般来说CR应该保持在1到3之间,比如0.25um工艺下,CR要求至少大于1.2。
相比于传统的工作电压,对于近阈值SRAM单元来说,首先出现的问题就是SRAM单元对噪声越来越敏感,从而导致噪声容限的下降,稳定性大大降低,漏电流变得很大,传统的CRCR比已不能确保不发生“读破坏”。其次,在制造过程中沟道区域掺杂、沟道长度以及氧化层厚度的随机波动所带来的晶体管阈值电压的不可预知变化、栅极长度变化所引起的漏电流以及驱动电流的变化等、热载流子效应以及栅极氧化层击穿等现象所带来的不稳定性,这些不稳定因素的相互制约最终会使得传统的6管SRAM单元有非常高的失效率,即使构建很小规模的阵列也是不能被接受的。以上问题都很大程度的限制了传统结构在近阈值环境下的应用。
为了解决“读破坏”即稳定性差等问题,学术界提出了新型的7管SRAM单元拓扑结构,该结构采用了数据存储点与位线分离的方法,消除了电压分压及外部噪声的问题,使得SRAM的稳定性得到了提升。然而,对于新结构来说,写操作只能有单边进行,也即只有一个传输晶体管用于写操作,加上阈值电压损失的影响,写操作的稳定性和速度远不能达标。对于学术上的其它新型单元拓扑结构来说,有些以牺牲面积为代价融合了传统双边写操作的6管SRAM单元和7管SRAM单元的优点,但是这些结构目的其实都仅仅是提升近阈值环境下的读操作能力和数据存储稳定性,写操作能力没有得到任何提升甚至有些结构还以牺牲写操作性能为前提而提升了读能力及稳定性。
综上,如何在不牺牲面积且不破坏写操作能力的基础上提升近阈值工作电压下SRAM单元的读能力和稳定性显得越来越重要,如果能在此基础上同时提升写操作能力将会更适应未来SRAM的发展需求。
发明内容
本发明的目的是克服已有技术的不足之处,提出一种近阈值8管静态随机存储器单元,本发明除采用了读写分离技术进而解除“读破坏”的影响外,还在两个交叉耦合的反相器之间加入了一个PMOS管增强了写操作能力。在数据保持过程和读操作过程中,PMOS是导通的,两个反相器组成一个锁存器结构(Latch)从而保持数据稳定。在写操作过程中,加入的PMOS管处于关断状态,保持数据稳定性的锁存器被打断为串行相连的两个反相器,进而使得数据更容易写进去,写操作得以增强。
本发明提出的一种近阈值8管静态随机存储器单元,其特征在于,该单元结构包括3个PMOS管、5个NMOS管,一组位线BL和BR、写字线WWL和读字线RWL;其中由第一NMOS管和第一PMOS管组成第一反相器,由第二NMOS管和第二PMOS管组成第二反相器,该两个反相器串行相连后的输入端和输出端分别连接第三PMOS管的源极和漏极,从而通过交叉耦合形式组成锁存器结构,以保证数据存储稳定性;第三、第四和第五NMOS管为传输NMOS管,其中,第三NMOS管的源极和漏极分别连接位线BL和数据存储点L,第二NMOS管的栅极和第三PMOS管的栅极共同连接至写字线WWL,第四NMOS管的漏极和源极分别连接位线BR和第五NMOS管的源极,第四NMOS管的栅极连接至读字线RWL,第五NMOS管的栅极连接至数据存储点R,第五NMOS管漏极连接至地gnd。
本发明特点以及有益效果:
本发明结构的特点在于:读写分离技术解决“读破坏”现象;为了提升写能力,在两个交叉耦合反相器之间增加了一个PMOS管,该管在不同情况下可以连接过打断两个反相器,在写操作过程中,打断交叉耦合反相器的反馈,消除锁存器的数据保持能力,从而使新数据更容易写入单元;所有管子均可采用最小尺寸以节省面积损耗;通过调整分别用于读写操作的管子的设计参数可以有针对性的提升读写能力。该结构可以增强SRAM在近阈值工作电压下的稳定性和读写能力,同时降低功了耗,无下拉比等尺寸约束进而简化设计难度,且无面积牺牲的代价。
本发明中的8个管子并不存在传统6管SRAM单元结构的严格尺寸约束,从而可以都用最小尺寸,即面积基本和传统6管SRAM单元一致。
本发明除了用于写操作的一个传输管(NMOS)外,其它7个管子均可以采用高阈值管,从而有效地降低SRAM单元功耗。
本发明的8管SRAM单元在读写操中都采用了单位线的方法,而传统的结构都是采用的双位线的方法,位线电容充放电次数的减少降低了动态功耗。
附图说明
图1为传统的6管SRAM单元拓扑结构原理图。
图2为本发明的8管SRAM单元拓扑结构原理图。
具体实施方式
下面结合附图及实施例的电路结构详细说明本发明。
本发明提出的一种近阈值8管静态随机存储器单元结构实施例如图2所示:包括3个PMOS管、5个NMOS管,一组位线BL和BR、写字线WWL和读字线RWL;其中由第一NMOS管N1和第一PMOS P1管组成第一反相器,由第二NMOS管N2和第二PMOS管P2组成第二反相器,该两个反相器串行相连后的输入端和输出端分别连接第三PMOS管P3的源极和漏极,从而通过交叉耦合形式组成锁存器结构,以保证数据存储稳定性;第三、第四和第五NMOS管N3、N4和N5为传输NMOS管,其中,第三NMOS管N3的源极和漏极分别连接位线BL和数据存储点L,第二NMOS管N3的栅极和第三PMOS管P3的栅极共同连接至写字线WWL,第四NMOS管N4的漏极和源极分别连接位线BR和第五NMOS管N5的源极,第四NMOS管N4的栅极连接至读字线RWL,第五NMOS管N5的栅极连接至数据存储点R,第五NMOS管N5漏极连接至地gnd。
由于传统6管SRAM单元中读写操作共用同一根字线,而在8管SRAM单元中,读操作和写操作分别使用读字线RWL和写字线WWL。在由大量重复单元构成阵列规模的SRAM中,位于同一列的单元共用同一组位线(BL和BR),位于同一行的单元共用同一组字线(WWL和RWL)。
相比于传统的6管SRAM单元结构,本发明增加了一根读字线RWL和一个NMOS管N5以及一个PMOS管P3。
本发明结构的工作原理如下:
1)根据参与操作的不同,本发明的8管SRAM单元结构分成三部分:
数据存储支路:N1管、N2管、P1管、P2管;
读操作支路:读字线RWL、位线BR、N4管、N5管;
写操作支路:写字线WWL、位线BL、N3管、P3管。
对于数据存储支路部分,两个交叉耦合的反相器(N1、P1和N2、P2)组成的锁存器结构以保证数据存储稳定性。在数据存储支路中,P3管处于导通状态相当于一根导线。
对于读操作支路部分,数据存储点R用于控制N5管开关状态(R为高电平时开启,R为低电平时关闭),读字线RWL用于控制读操作(高电平有效)。当进行读操作时,N3管关闭,位线BL处于高电平,字线RWL有效,N4管导通,此时如果数据存储点R存高电平,则N4和N5形成读操作路径(放电操作),位线BR被放电,两条位线形成电压差,如果数据存储点R存低电平,则位线BR保持高电平,根据两条位线是否存在压差完成读操作。并无“读破坏”的现象,故管子并无下拉比尺寸约束。在读操作支路中,P3管处于导通状态相当于一根导线。
对于写操作支路部分,写字线WWL用于控制写操作(高电平有效)。在两个交叉耦合反相器之间增加了一个P3管,该管在不同情况下可以连接或打断两个反相器的反馈。读操作时P3管处于导通状态,并不影响数据存储稳定性。写操作时,N3管开启,由位线BL对数据存储点L进行写入操作,此时P3管断开,打断了交叉耦合反相器的反馈,很大程度的增强了写操作能力。
2)本发明8个管子的参数要求,如下:
N3管只参与写操作过程,NMOS管在传输高电平时会存在一定的阈值损失,特别是在近阈值工作电压下,写操作会越来越困难,所以N3管得阈值电压不能过高,故应采用所使用工艺下的低阈值管。
N4管和N5管仅参与读操作,故在需要的情况下可以增加管子尺寸或采用低阈值管减小读操作路径的电阻来加速读操作。
一方面为了增强数据稳定性,另一方面为了降低功耗,两个交叉耦合反相器的四个MOS管(N1、N2、P1、P2)采用所使用工艺下的高阈值管和最小尺寸。
不存在“读破坏”,故无下拉比尺寸约束。当需要最大限度的减小SRAM阵列面积时,所有管子均可采用所使用工艺下的最小尺寸。
实施例
下面给出一个本发明的具体实施例
本实施例为在近阈值工作电压下读写能力均衡且面积和传统6管SRAM单元保持在同一数量级的8管SRAM单元,工作电压选取0.6V,工艺采用40nm。
各管的参数:N3管采用40nm工艺下低阈值管,其它7个管子均采用高阈值管;所有8个晶体管均采用40nm工艺下最小设计尺寸;
同传统6管SRAM单元性能指标仿真结果比对:
传统6管SRAM单元静态噪声容限(存储单元所能承受的最大直流噪声信号的幅值)仅有0.0135V,本实施例的8管SRAM单元有0.193V;写噪声容限(改变数据存储节点L为“0”状态的最大位线BL电压);
传统6管SRAM单元仅有0.12V,本实施例的8管SRAM单元有0.21V;
静态功耗(数据保持状态下的漏电功耗)传统6管SRAM单元约为23.22ρw,本实施例的8管SRAM单元约为20.14ρw;动态功耗(完成写“1”读“1”写“0”读“0”四个操作的平均功耗);
传统6管SRAM单元约为0.739μw,本实施例的8管SRAM单元约为0.4μw。

Claims (1)

1.一种近阈值8管静态随机存储器单元,其特征在于,该单元结构包括3个PMOS管、5个NMOS管,一组位线BL和BR、写字线WWL和读字线RWL;其中由第一NMOS管和第一PMOS管组成第一反相器,由第二NMOS管和第二PMOS管组成第二反相器,该两个反相器串行相连后的输入端和输出端分别连接第三PMOS管的源极和漏极,从而通过交叉耦合形式组成锁存器结构,以保证数据存储稳定性;第三、第四和第五NMOS管为传输NMOS管,其中,第三NMOS管的源极和漏极分别连接位线BL和数据存储点L,第二NMOS管的栅极和第三PMOS管的栅极共同连接至写字线WWL,第四NMOS管的漏极和源极分别连接位线BR和第五NMOS管的源极,第四NMOS管的栅极连接至读字线RWL,第五NMOS管的栅极连接至数据存储点R,第五NMOS管漏极连接至地gnd。
CN201510251343.XA 2015-05-15 2015-05-15 一种近阈值8管静态随机存储器单元 Pending CN104882159A (zh)

Priority Applications (1)

Application Number Priority Date Filing Date Title
CN201510251343.XA CN104882159A (zh) 2015-05-15 2015-05-15 一种近阈值8管静态随机存储器单元

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
CN201510251343.XA CN104882159A (zh) 2015-05-15 2015-05-15 一种近阈值8管静态随机存储器单元

Publications (1)

Publication Number Publication Date
CN104882159A true CN104882159A (zh) 2015-09-02

Family

ID=53949626

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201510251343.XA Pending CN104882159A (zh) 2015-05-15 2015-05-15 一种近阈值8管静态随机存储器单元

Country Status (1)

Country Link
CN (1) CN104882159A (zh)

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN106067318A (zh) * 2016-06-03 2016-11-02 宁波大学 利用碳纳米场效应晶体管实现的三值静态随机存储单元
CN110364193A (zh) * 2018-04-11 2019-10-22 中芯国际集成电路制造(天津)有限公司 静态随机存取存储单元、静态随机存取存储器及电子装置
US11475927B1 (en) * 2021-05-18 2022-10-18 Tsinghua University Static random-access memory and electronic device

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20130003469A1 (en) * 2011-06-30 2013-01-03 Kulkarni Jaydeep P Circuits and methods for memory
CN104183269A (zh) * 2014-08-29 2014-12-03 东南大学 一种低电压单端读写sram存储单元及控制方法

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20130003469A1 (en) * 2011-06-30 2013-01-03 Kulkarni Jaydeep P Circuits and methods for memory
CN104183269A (zh) * 2014-08-29 2014-12-03 东南大学 一种低电压单端读写sram存储单元及控制方法

Non-Patent Citations (1)

* Cited by examiner, † Cited by third party
Title
陈愿: "一种工作在亚阈值条件下的低功耗九管SRAM单元的设计", 《中国优秀硕士学位论文全文数据库信息科技辑》 *

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN106067318A (zh) * 2016-06-03 2016-11-02 宁波大学 利用碳纳米场效应晶体管实现的三值静态随机存储单元
CN106067318B (zh) * 2016-06-03 2018-08-31 宁波大学 利用碳纳米场效应晶体管实现的三值静态随机存储单元
CN110364193A (zh) * 2018-04-11 2019-10-22 中芯国际集成电路制造(天津)有限公司 静态随机存取存储单元、静态随机存取存储器及电子装置
US11475927B1 (en) * 2021-05-18 2022-10-18 Tsinghua University Static random-access memory and electronic device

Similar Documents

Publication Publication Date Title
CN101727971B (zh) 一种集成电路结构
Kulkarni et al. A 160 mV robust Schmitt trigger based subthreshold SRAM
Liu et al. High read stability and low leakage cache memory cell
CN106448725B (zh) 一种基于FinFET器件的读写分离存储单元
CN105654984A (zh) 静态随机存取存储器及其操作方法
CN103077741A (zh) 一种低电压工作的sram的存储单元电路
CN103578529B (zh) 一种根据写数据改变电源供电的亚阈值存储单元
CN102176323A (zh) 一种带自适应漏电流切断机制的存储单元电路
CN102543157A (zh) 一种双位线亚阈值存储单元电路
Kushwah et al. A sub-threshold eight transistor (8T) SRAM cell design for stability improvement
CN102157195B (zh) 低电压静态随机存储器单元、存储器和写操作方法
CN104882159A (zh) 一种近阈值8管静态随机存储器单元
CN102360567A (zh) 一种自动调节传输管与下拉管强度的8管存储单元
CN103971733A (zh) 低功耗sram单元电路结构
CN102592660B (zh) 一种单端操作的亚阈值存储单元电路
CN102290097A (zh) 一种sram存储器
CN204102573U (zh) 一种同时提高读噪声容限和写裕度的新型12管sram单元电路
CN101840728B (zh) 一种双端sram单元
CN107393581B (zh) 一种基于FinFET器件的单位线非对称存储单元
CN104751878A (zh) 读写分离的双端口sram结构及其单元
CN104409092A (zh) 基于切断反馈技术的存储单元电路
CN109903796B (zh) 采用p-p-n与p-n-n混合结构的10管存储单元
CN204242589U (zh) 基于切断反馈技术的存储单元电路
CN107393584B (zh) 一种基于FinFET器件的全摆幅单端读存储单元
Verma et al. Design and analysis of 1-Kb 6T SRAM using different architecture

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
EXSB Decision made by sipo to initiate substantive examination
SE01 Entry into force of request for substantive examination
WD01 Invention patent application deemed withdrawn after publication
WD01 Invention patent application deemed withdrawn after publication

Application publication date: 20150902