CN104734675A - 串行信号通信接收端的信号检测电路和方法 - Google Patents

串行信号通信接收端的信号检测电路和方法 Download PDF

Info

Publication number
CN104734675A
CN104734675A CN201310696474.XA CN201310696474A CN104734675A CN 104734675 A CN104734675 A CN 104734675A CN 201310696474 A CN201310696474 A CN 201310696474A CN 104734675 A CN104734675 A CN 104734675A
Authority
CN
China
Prior art keywords
signal
output
level
counting unit
input
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CN201310696474.XA
Other languages
English (en)
Other versions
CN104734675B (zh
Inventor
沈炎俊
唐重林
刘寅
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Chengdu Huada Jiutian Technology Co., Ltd
Original Assignee
Beijing CEC Huada Electronic Design Co Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Beijing CEC Huada Electronic Design Co Ltd filed Critical Beijing CEC Huada Electronic Design Co Ltd
Priority to CN201310696474.XA priority Critical patent/CN104734675B/zh
Publication of CN104734675A publication Critical patent/CN104734675A/zh
Application granted granted Critical
Publication of CN104734675B publication Critical patent/CN104734675B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Landscapes

  • Amplifiers (AREA)
  • Logic Circuits (AREA)
  • Dc Digital Transmission (AREA)

Abstract

本发明公开了串行信号通信接收端的信号检测电路和方法,如图1所示。VinP为输入信号,VinN是输入共模电平,Vref为共模电平产生的参考电平,并可以通过寄存器来调节它与VinN之间的差值,该差值用于模拟预估的噪声电平;因为在接收端的信号比较弱,所以需要先预放大;然后将放大后的预估噪声信号和实际信号分别连接到功率检测电路进行整流滤波,分别输出电平V1和V2;接着连接至施密特触发器比较后得到Vout;为了防止误判,本发明在触发器的输出端加了一个数字电路实现的计数单元,它的输出经反相后反馈到触发器的一个输入端来控制其阈值电压,该值可以通过调节电流源IBIAS4来实现。

Description

串行信号通信接收端的信号检测电路和方法
技术领域
本发明涉及集成电路技术领域,特别是串行信号通信接收端的信号检测电路和方法。
背景技术
在串行信号通信中,发送端发出的信号需要经过线缆传输到接收端,而线缆的长度根据实际的应用场景来决定。通常情况下,线缆长度越长,其对输入信号高频部分的衰减就越多,此外当信号的速率变大时,衰减就更加厉害。因此通常需要在接收端加上一个均衡器,来补偿信号的高频衰减部分。理想情况下,线缆和均衡器级联后在所关心的频率范围内是一条平坦的线,从而可以得到质量比较好的输入信号给后续电路。但是在接收机的前端加上均衡器也会带来的一个问题是,当输入端没有信号时,即只有噪声存在的情况下,均衡器也同样会将其放大,当均衡器的增益比较大时,其输出的噪声信号就会被误认为是正常的信号,从而影响了整个系统。
发明内容
本发明为了解决上述问题,提供了用于串行信号通信接收端的信号检测电路和方法,可以由寄存器配置来模拟噪声的幅度大小,通过输出反馈控制的方式来调节触发器的阈值电压,并引入数字延时单元来防止误判。
本发明的技术方案如下:
串行信号通信接收端的信号检测电路,其特征在于:参考电平Vref由信号的输入共模电平VinN产生,而VinN为两路差分预放大器的共同输入部分,两路差分预放大器的输出分别连接到两路差分功率检测电路的栅极,差分检测的源级连接在一起作为一路输出。输出后的电平信号连接到施密特触发器进行比较后连接到数字计数单元,数字计数单元经反相后连接到触发器的差分开关的栅极。
所述参考电压Vref是由运放精确控制的电阻比值的方式来实现,其中VinN连接运放的P端,运放的输出连接到NMOS管的栅极,NMOS管的源级连接运放的N端。
所述输入共模电平VinN连接到两路差分预放大器的栅极。
所述预放大器中的电流IBIAS1可以由寄存器来配置,从而可以实现灵活的增益。
所述预放大器的差分输出分别连接到其中一路的功率检测电路的栅极,它们的源级连接在一起作为输出,在输出端分别连接一个电容和一个小的电流源到地。
所述信号检测电路的输出信号经过一级反相器后来控制触发器的开关管MN10。
所述当信号检测电路输出逻辑低电平时,反相输出为逻辑高电平,开关管MN10导通,电流源IBIAS4流过开关管MN10,IBIAS4的大小可以通过寄存器配置来调节,从而可以改变触发器阈值电压的大小。
所述为了减小失调,使用了差分对管MN10和MN11,其中MN10管的栅极连接信号检测电路的反向输出,漏极连接到MP0的漏极,而MN11管的栅极接地,漏极连接到MP1的漏极。
所述为了防止误判,在施密特触发器的输出端连接了一个数字电路实现的计数单元,该计数单元的功能是由一个参考时钟对触发器的输出逻辑电平进行计数(时钟上升沿到来时,读取输出的值,如果为逻辑高电平,则计数器值加1;否则该计数器值保持不变)。当经过1000个时钟周期后,读取计数器器的值,如果它大于900,则计数单元输出逻辑高电平,即表明此时芯片的输入端有信号;反之,则输出逻辑低电平,即表明此时芯片的输入端没有检测到信号。
串行信号通信接收端的信号检测方法,如图1所示。图中VinP为芯片的输入信号,VinN是输入共模电平,Vref为由共模电平产生的一个参考电平,并可以通过寄存器来调节它与VinN之间的差值,该差值用于模拟预估的噪声电平;在高速串行通信的接收端的信号比较弱,所以需要先经过预放大器进行放大;然后将放大后的预估噪声信号和实际信号分别连接到功率检测电路进行整流滤波,分别输出电平V1和V2;接着连接至施密特触发器比较输出后得到Vout;为了防止单次误判,在施密特触发器的输出端连接了一个数字电路实现的计数单元,计数单元的输出经反相后连接到施密特触发器的一个输入端(MN10)来控制其阈值电压。当计数单元输出逻辑高电平时,表明芯片输入口有信号;反之,当输出逻辑低电平时,表明芯片输入口没有信号。
本发明的有益效果如下:
采用信号检测电路可以避免噪声带来的误判,从而使得系统可以在只存在信号的情况下正常工作。另外加入了数字计数单元,更加保证了系统的可靠性。
附图说明
图1  为本发明的模块级联框图。
图2  为本发明的预放大器结构示意图。
图3  为本发明的Vref产生电路结构示意图。
图4  为本发明的功率检测结构示意图。
图5  为本发明的施密特触发器及数字延时单元结构示意图。
图6  为本发明的具体实施整体结构示意图。
具体实施方式
如图6所示。该图为整个电路的具体连接示意图。
串行信号通信接收端的信号检测电路,参考电平Vref由信号的输入共模电平VinN产生,而VinN为两路差分预放大器的共同输入部分,两路差分预放大器的输出分别连接到两路差分功率检测电路的栅极,差分检测的源级连接在一起作为一路输出。输出后的电平信号连接到施密特触发器进行比较后连接到数字计数单元,数字计数单元经反相后连接到触发器的差分开关的栅极。
所述参考电压Vref是由运放精确控制的电阻比值的方式来实现,其中VinN连接运放的P端,运放的输出连接到NMOS管的栅极,NMOS管的源级连接运放的N端。
所述输入共模电平VinN连接到两路差分预放大器的栅极。
所述预放大器中的电流IBIAS1可以由寄存器来配置,从而可以实现灵活的增益。
所述预放大器的差分输出分别连接到其中一路的功率检测电路的栅极,它们的源级连接在一起作为输出,在输出端分别连接一个电容和一个小的电流源到地。
所述信号检测电路的输出信号经过一级反相器后来控制触发器的开关管MN10。
所述当信号检测电路输出逻辑低电平时,反相输出为逻辑高电平,开关管MN10导通,电流源IBIAS4流过开关管MN10,IBIAS4的大小可以通过寄存器配置来调节,从而可以改变触发器阈值电压的大小。
所述为了减小失调,使用了差分对管MN10和MN11,其中MN10管的栅极连接信号检测电路的反向输出,漏极连接到MP0的漏极,而MN11管的栅极接地,漏极连接到MP1的漏极。
所述为了防止误判,在施密特触发器的输出端连接了一个数字电路实现的计数单元,该计数单元的功能是由一个参考时钟对触发器的输出逻辑电平进行计数(时钟上升沿到来时,读取输出的值,如果为逻辑高电平,则计数器值加1;否则该计数器值保持不变)。当经过1000个时钟周期后,读取计数器器的值,如果它大于900,则计数单元输出逻辑高电平,即表明此时芯片的输入端有信号;反之,则输出逻辑低电平,即表明此时芯片的输入端没有检测到信号。
串行信号通信接收端的信号检测方法,如图6所示。图中VinP为芯片的输入信号,VinN是输入共模电平,Vref为由共模电平产生的一个参考电平,并可以通过寄存器来调节它与VinN之间的差值,该差值用于模拟预估的噪声电平;在高速串行通信的接收端的信号比较弱,所以需要先经过预放大器进行放大;两路差分的信号分别连接到功率检测电路进行整流滤波,分别输出电平V1和V2;其中V1时实际芯片口的输出电平,V2为预估的噪声信号输出电平。接着连接至施密特触发器比较输出后得到Vout;为了防止单次误判,在施密特触发器的输出端连接了一个数字电路实现的计数单元,该计数单元的功能是由一个参考时钟对触发器的输出逻辑电平进行计数(时钟上升沿到来时,读取输出的值,如果为逻辑高电平,则计数器值加1;否则该计数器值保持不变)。当经过1000个时钟周期后,读取计数器器的值,如果它大于900,则计数单元输出逻辑高电平,即表明此时芯片的输入端有信号;反之,则输出逻辑低电平,即表明此时芯片的输入端没有检测到信号。计数单元的输出经反相后连接到施密特触发器的一个输入端(MN10)来控制其阈值电压。

Claims (10)

1.串行信号通信接收端的信号检测电路,其特征在于:参考电平Vref由信号的输入共模电平VinN产生,而VinN为两路差分预放大器的共同输入部分,两路差分预放大器的输出分别连接到两路差分功率检测电路的栅极,差分检测的源级连接在一起作为一路输出;输出后的电平信号连接到施密特触发器进行比较后连接到数字计数单元,数字计数单元经反相后连接到触发器的差分开关的栅极。
2.根据权利要求1所述串行信号通信接收端信号检测电路,其特征在于:由运放精确控制的电阻比值的方式来实现不同的参考电压Vref,其中VinN连接运放的P端,运放的输出连接到NMOS管的栅极,NMOS管的源级连接运放的N端。
3.根据权利要求1所述串行信号通信接收端信号检测电路,其特征在于:输入共模电平VinN连接到两路差分预放大器的栅极。
4.根据权利要求1所述串行信号通信接收端信号检测电路,其特征在于:预放大器中的电流IBIAS1可以由寄存器来配置,从而可以实现灵活的增益。
5.根据权利要求1所述串行信号通信接收端信号检测电路,其特征在于:预放大器的差分输出分别连接到其中一路的功率检测电路的栅极,它们的源级连接在一起作为输出,在输出端分别连接一个电容和一个小的电流源到地。
6.根据权利要求1所述串行信号通信接收端信号检测电路,其特征在于:信号检测电路的输出信号经过一级反相器后来控制触发器的开关管MN10。
7.根据权利要求1或6所述串行信号通信接收端信号检测电路,其特征在于:当信号检测电路输出逻辑低电平时,反相输出为逻辑高电平,开关管MN10导通,电流源IBIAS4流过开关管MN10,IBIAS4的大小可以通过寄存器配置来调节,从而可以改变触发器阈值电压的大小。
8.根据权利要求1或7所述串行信号通信接收端信号检测电路,其特征在于:为了减小失调,使用了差分对管MN10和MN11,其中MN10管的栅极连接信号检测电路的反向输出,漏极连接到MP0的漏极,而MN11管的栅极接地,漏极连接到MP1的漏极。
9.根据权利要求1所述串行信号通信接收端信号检测电路,其特征在于:为了防止误判,在施密特触发器的输出端连接了一个数字电路实现的计数单元,该计数单元的功能是由一个参考时钟对触发器的输出逻辑电平进行计数(时钟上升沿到来时,读取输出的值,如果为逻辑高电平,则计数器值加1;否则该计数器值保持不变);当经过1000个时钟周期后,读取计数器器的值,如果它大于900,则计数单元输出逻辑高电平,即表明此时芯片的输入端有信号;反之,则输出逻辑低电平,即表明此时芯片的输入端没有检测到信号。
10.串行信号通信接收端的信号检测方法,如图1所示;图中VinP为芯片的输入信号,VinN是输入共模电平,Vref为由共模电平产生的一个参考电平,并可以通过寄存器来调节它与VinN之间的差值,该差值用于模拟预估的噪声电平;在高速串行通信的接收端的信号比较弱,所以需要先经过预放大器进行放大;然后将放大后的预估噪声信号和实际信号分别连接到功率检测电路进行整流滤波,分别输出电平V1和V2;接着连接至施密特触发器比较输出后得到Vout;为了防止单次误判,在施密特触发器的输出端连接了一个数字电路实现的计数单元,计数单元的输出经反相后连接到施密特触发器的一个输入端(MN10)来控制其阈值电压;当计数单元输出逻辑高电平时,表明芯片输入口有信号;反之,当输出逻辑低电平时,表明芯片输入口没有信号。
CN201310696474.XA 2013-12-18 2013-12-18 串行信号通信接收端的信号检测电路和方法 Active CN104734675B (zh)

Priority Applications (1)

Application Number Priority Date Filing Date Title
CN201310696474.XA CN104734675B (zh) 2013-12-18 2013-12-18 串行信号通信接收端的信号检测电路和方法

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
CN201310696474.XA CN104734675B (zh) 2013-12-18 2013-12-18 串行信号通信接收端的信号检测电路和方法

Publications (2)

Publication Number Publication Date
CN104734675A true CN104734675A (zh) 2015-06-24
CN104734675B CN104734675B (zh) 2018-04-13

Family

ID=53458190

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201310696474.XA Active CN104734675B (zh) 2013-12-18 2013-12-18 串行信号通信接收端的信号检测电路和方法

Country Status (1)

Country Link
CN (1) CN104734675B (zh)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN107820076A (zh) * 2017-12-01 2018-03-20 珠海亿智电子科技有限公司 一种模拟视频输入端口信号检测电路及方法
CN110266466A (zh) * 2019-06-26 2019-09-20 成都夸克光电技术有限公司 一种串行差分非归零码识别方法

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS63217751A (ja) * 1987-03-05 1988-09-09 Mitsubishi Electric Corp 受信器
CN101068131A (zh) * 2007-07-10 2007-11-07 烽火通信科技股份有限公司 一种用于光纤通信限幅放大器的电平检测电路装置

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS63217751A (ja) * 1987-03-05 1988-09-09 Mitsubishi Electric Corp 受信器
CN101068131A (zh) * 2007-07-10 2007-11-07 烽火通信科技股份有限公司 一种用于光纤通信限幅放大器的电平检测电路装置

Non-Patent Citations (1)

* Cited by examiner, † Cited by third party
Title
罗光明 等: "光线振动传感器的信号检测电路设计", 《电子测量技术》 *

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN107820076A (zh) * 2017-12-01 2018-03-20 珠海亿智电子科技有限公司 一种模拟视频输入端口信号检测电路及方法
CN107820076B (zh) * 2017-12-01 2020-11-24 珠海亿智电子科技有限公司 一种模拟视频输入端口信号检测电路及方法
CN110266466A (zh) * 2019-06-26 2019-09-20 成都夸克光电技术有限公司 一种串行差分非归零码识别方法
CN110266466B (zh) * 2019-06-26 2021-09-14 成都夸克光电技术有限公司 一种串行差分非归零码识别方法

Also Published As

Publication number Publication date
CN104734675B (zh) 2018-04-13

Similar Documents

Publication Publication Date Title
EP1122921B1 (en) Circuit and method for providing a digital data signal with pre-distortion
JP4979344B2 (ja) 信号検知回路
KR100782323B1 (ko) 출력 드라이버의 노이즈를 감소시킬 수 있는 반도체 장치및 방법
KR101081365B1 (ko) 스퀄치 감지 회로
US20130002214A1 (en) Current balance circuit
US9483435B2 (en) USB transceiver
CN104734675A (zh) 串行信号通信接收端的信号检测电路和方法
CN102944714B (zh) 差分信号检测装置
CN106951382A (zh) 支持ddr数据格式的lvds接收电路
WO2023183487A1 (en) Variable gain amplifier biased with a fixed current to improve low-gain linearity
CN102832956B (zh) 包络检测器与相关方法
CN202903860U (zh) 差分信号检测装置
CN105915222A (zh) 一种高灵敏度的高速采样器电路
JP5296620B2 (ja) 信号中継回路
CN111600659A (zh) 一种光接收电路
JP5215056B2 (ja) ビットレート判定装置、ビットレート判定方法、信号弁別器及び光信号受信弁別器
US20230308065A1 (en) Variable gain amplifier biased with a fixed current to improve low-gain linearity
US20230308064A1 (en) Variable gain amplifier with cross-coupled common mode reduction
US9712344B2 (en) Receiving device with error detection circuit
US8929466B2 (en) Data receiving circuit and semiconductor device
CN116827276B (zh) 一种运算放大器输入级电路及共模状态检测方法
CN116248434B (zh) 一种二总线的通信方法、装置、设备及存储介质
CN109787608B (zh) 用于确定低压差分感测接收器中是否接收实际传输的电路
US8195107B2 (en) Signal transmission system and signal transmission method thereof
JP2009088593A (ja) 半導体集積回路装置、イコライザ評価システム、イコライザ評価方法

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant
TR01 Transfer of patent right
TR01 Transfer of patent right

Effective date of registration: 20181122

Address after: 610200 13 Floors of No. 596 Yinhe Road Research Complex Building, Shuangliu District, Chengdu City, Sichuan Province

Patentee after: Chengdu nine core microtechnology Co., Ltd.

Address before: 100102 Second Floor, Block A, No. 2, Lize Middle Road, Chaoyang District, Beijing

Patentee before: Beijing Jiutian Digital Technology Co., Ltd.

CP01 Change in the name or title of a patent holder
CP01 Change in the name or title of a patent holder

Address after: 610200 Chengdu City, Sichuan Province, Chengdu City, the Galactic Road, No. 596 scientific research complex 13 floor

Patentee after: Chengdu Huada Jiutian Technology Co., Ltd

Address before: 610200 Chengdu City, Sichuan Province, Chengdu City, the Galactic Road, No. 596 scientific research complex 13 floor

Patentee before: CHENGDU JIUXIN MICRO TECHNOLOGY Co.,Ltd.