CN104716171B - 半导体设置及其制造方法 - Google Patents

半导体设置及其制造方法 Download PDF

Info

Publication number
CN104716171B
CN104716171B CN201310674438.3A CN201310674438A CN104716171B CN 104716171 B CN104716171 B CN 104716171B CN 201310674438 A CN201310674438 A CN 201310674438A CN 104716171 B CN104716171 B CN 104716171B
Authority
CN
China
Prior art keywords
grid
gate
semiconductor devices
work function
regulating course
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
CN201310674438.3A
Other languages
English (en)
Other versions
CN104716171A (zh
Inventor
朱慧珑
赵治国
张永奎
马小龙
许淼
殷华湘
杨红
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Institute of Microelectronics of CAS
Original Assignee
Institute of Microelectronics of CAS
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Institute of Microelectronics of CAS filed Critical Institute of Microelectronics of CAS
Priority to CN201310674438.3A priority Critical patent/CN104716171B/zh
Publication of CN104716171A publication Critical patent/CN104716171A/zh
Application granted granted Critical
Publication of CN104716171B publication Critical patent/CN104716171B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823431MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823437MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes
    • H01L21/823456MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes gate conductors with different shapes, lengths or dimensions

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • Ceramic Engineering (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Metal-Oxide And Bipolar Metal-Oxide Semiconductor Integrated Circuits (AREA)
  • Thin Film Transistor (AREA)

Abstract

本申请公开了一种半导体设置及其制造方法。一示例半导体设置可以包括:衬底;以及在衬底上形成的第一单元半导体器件和第二单元半导体器件。第一单元半导体器件可以包括第一栅堆叠,第二单元半导体器件可以包括第二栅堆叠。第一栅堆叠可以包括第一功函数调节层,第二栅堆叠可以包括第二功函数调节层。第一栅堆叠的栅长可以小于第二栅堆叠的栅长,且第一功函数调节层的厚度可以小于第二功函数调节层的厚度。

Description

半导体设置及其制造方法
技术领域
本公开涉及半导体领域,更具体地,涉及能够通过调节功函数来改善短沟道效应的半导体设置及其制造方法。
背景技术
随着半导体器件的不断小型化,短沟道效应越来越明显。特别是,当栅长小于25nm时,短沟道效应愈加难以控制。
另一方面,随着器件的小型化,高K栅介质/金属栅配置代替传统的SiO2/多晶硅栅配置。与之相适应,后栅(gate last)工艺正逐渐替代先栅(gate first)工艺。
发明内容
本公开的目的至少部分地在于提供一种半导体设置及其制造方法,其中可以通过调节栅堆叠的等效功函数来改善短沟道效应。
根据本公开的一个方面,提供了一种半导体设置,包括:衬底;以及在衬底上形成的第一单元半导体器件和第二单元半导体器件。第一单元半导体器件可以包括第一栅堆叠,第二单元半导体器件可以包括第二栅堆叠。第一栅堆叠可以包括第一功函数调节层,第二栅堆叠可以包括第二功函数调节层。第一栅堆叠的栅长可以小于第二栅堆叠的栅长,且第一功函数调节层的厚度可以小于第二功函数调节层的厚度。
根据本公开的另一方面,提供了一种制造半导体设置的方法,包括:在衬底上形成第一单元半导体器件和第二单元半导体器件。第一单元半导体器件可以包括第一栅堆叠,第二单元半导体器件可以包括第二栅堆叠。第一栅堆叠可以包括第一功函数调节层,第二栅堆叠可以包括第二功函数调节层。第一栅堆叠的栅长可以小于第二栅堆叠的栅长,且第一功函数调节层的厚度可以小于第二功函数调节层的厚度。
根据本公开的实施例,对于不同栅长的栅堆叠,可以采用不同厚度的功函数调节层(且因此栅堆叠的等效功函数不同)。例如,栅长越长,功函数调节层可以越厚。这导致了逆短沟道效应,从而可以至少部分地抑制短沟道效应。在后栅工艺中,功函数调节层的厚度改变可以通过栅槽本身的大小不同来实现,从而无需额外的复杂处理。
附图说明
通过以下参照附图对本公开实施例的描述,本公开的上述以及其他目的、特征和优点将更为清楚,在附图中:
图1-11是示出了根据本公开实施例的制造半导体设置流程中的部分阶段的示意图。
具体实施方式
以下,将参照附图来描述本公开的实施例。但是应该理解,这些描述只是示例性的,而并非要限制本公开的范围。此外,在以下说明中,省略了对公知结构和技术的描述,以避免不必要地混淆本公开的概念。
在附图中示出了根据本公开实施例的各种结构示意图。这些图并非是按比例绘制的,其中为了清楚表达的目的,放大了某些细节,并且可能省略了某些细节。图中所示出的各种区域、层的形状以及它们之间的相对大小、位置关系仅是示例性的,实际中可能由于制造公差或技术限制而有所偏差,并且本领域技术人员根据实际所需可以另外设计具有不同形状、大小、相对位置的区域/层。
在本公开的上下文中,当将一层/元件称作位于另一层/元件“上”时,该层/元件可以直接位于该另一层/元件上,或者它们之间可以存在居中层/元件。另外,如果在一种朝向中一层/元件位于另一层/元件“上”,那么当调转朝向时,该层/元件可以位于该另一层/元件“下”。
根据本公开的实施例,提供了一种半导体设置。该半导体设置可以包括多个单元半导体器件,例如晶体管。这些单元半导体器件可以包括平面型器件如金属氧化物半导体场效应晶体管(MOSFET),或立体型器件如鳍式场效应晶体管(FinFET)。半导体设置中的单元半导体器件不限于相同类型,例如一部分单元半导体器件可以是平面型器件,而另一部分单元半导体器件可以是立体型器件。各单元半导体器件可以包括高K栅介质/金属栅配置。金属栅例如可以包括功函数调节层和金属栅导体层的叠层。在这种情况下,这些单元半导体器件可以通过后栅工艺来制造。
在这多个单元半导体器件中,至少一部分单元半导体器件,如第一和第二(或更多)单元半导体器件,可以具有不同的栅长(以实现例如不同的驱动能力)。根据本公开的实施例,对于具有不同栅长的单元半导体器件,它们的功函数调节层的厚度可以不同。例如,在第一单元半导体器件的栅长小于第二单元半导体器件的栅长的情况下,第一单元半导体器件的功函数调节层的厚度可以小于第二单元半导体器件的功函数调节层的厚度。这样的配置可以导致逆短沟道效应(reverse short channel effect),从而可以至少部分地抵消短沟道效应的影响。这种配置在后栅工艺中能够容易地实现。具体地,在后栅工艺中,功函数调节层的厚度可以取决于栅长的大小,如以下详细所述。
根据本公开的实施例,还提供了一种制造半导体设置的方法。该方法可以包括在衬底上形成多个单元半导体器件如晶体管。各种制造工艺可以适用。例如,可以在衬底上形成栅堆叠。可以栅堆叠为掩模,进行延伸区(extension)注入以及(可选的)晕圈(halo)注入。在栅堆叠的侧壁上,可以形成栅侧墙(spacer)。然后,可以栅堆叠和栅侧墙为掩模,进行源/漏(S/D)注入,以形成源/漏区。在形成平面型器件如MOSFET的情况下,栅堆叠可以形成于衬底(具体地,有源区,例如由衬底中形成的浅沟槽隔离(STI)限定)的表面上;在形成立体型器件如FinFET的情况下,栅堆叠可以形成为与衬底上形成的鳍相交。
根据本公开的实施例,可以利用后栅工艺。在这种情况下,上述栅堆叠可以是牺牲栅堆叠,例如包括牺牲栅介质层和牺牲栅导体层。在利用牺牲栅堆叠通过上述制造工艺形成源/漏区之后,可以进行替代栅处理。例如,可以在衬底上形成层间电介质层,并对其进行平坦化处理,以露出牺牲栅堆叠。然后,可以选择性去除牺牲栅堆叠,以在栅侧墙内侧形成栅槽,随后可以在栅槽中形成真正的栅堆叠,例如高K栅介质/金属栅堆叠。
这里需要指出的是,本公开不限于具体的单元半导体器件制造工艺。任何现有的制造工艺或者将来开发的制造工艺均可以适用。以上对制造工艺的描述,仅仅是为了使得本领域的技术人员能够充分理解本公开。本领域技术人员可以设想去除某些步骤、添加附加的步骤和/或交换某些步骤的顺序。
根据本公开的实施例,在形成栅堆叠时(在后栅工艺的情况下,在向栅槽中填充真正的栅堆叠时),根据不同的栅长来形成不同厚度的功函数调节层。例如,栅长越小,功函数调节层的厚度可以越薄。这在后栅工艺的情况下是特别有利的。因为在后栅工艺的情况下,栅长越小,去除牺牲栅堆叠之后得到的栅槽就越小,向其中填充材料就越困难,因此在大致同等的条件下,在其中形成的材料层的厚度可以越薄。于是,可以单个淀积步骤,可以在不同大小的栅槽中形成不同厚度的功函数调节层。
本公开可以各种形式呈现,以下将描述其中一些示例。
图11(图11(a)示出了俯视图,图11(b)示出了沿图11(a)中AA′的截面图,图11(c)示出了沿图11(a)中BB′的截面图)示出了根据本公开实施例的半导体设置1000。该半导体设置1000可以包括多个单元半导体器件,图11中示出了其中的两个。在图11所示的示例中,单元半导体器件包括FinFET,它们分别包括各自的鳍(F1;F2)以及与鳍相交的栅堆叠(1022,1024-1,1026-1;1022,11024-2,1026-2)。栅堆叠可以包括高K栅介质(1022)、设于高K栅介质上的功函数调节层(1024-1;1024-2)以及设于功函数调节层上的金属栅导体(1026-1;1026-2)。图中左侧器件的栅长(图中表现为竖直方向上的尺度)(例如,约10-30nm)小于右侧器件的栅长(例如,约12-100nm),且左侧器件的功函数调节层(1024-1)(例如,对于p型器件约0.3-5nm,对于n型器件约0.1-5nm)薄于右侧器件的功函数调节层(1024-2)(例如,对于p型器件约0.5-5nm,对于n型器件约0.2-5nm)。
这种半导体设置例如可以通过如下方法来制造。
如图1所示,提供衬底1002。衬底1002可以是各种形式的合适衬底,例如体半导体衬底如Si、Ge等,化合物半导体衬底如SiGe、GaAs、GaSb、AlAs、InAs、InP、GaN、SiC、InGaAs、InSb、InGaSb等,绝缘体上半导体衬底(SOI)等。在此,以体硅衬底及硅系材料为例进行描述。但是需要指出的是,本公开不限于此。
根据本公开的一些示例,可以在衬底1002中形成阱区1004。例如,对于p型器件,可以形成n型阱区;而对于n型器件,可以形成p型阱区。例如,n型阱区可以通过在衬底1000中注入n型杂质如P或As来形成,p型阱区可以通过在衬底1000中注入p型杂质如B来形成。如果需要,在注入之后还可以进行退火。本领域技术人员能够想到多种方式来形成n型阱、p型阱,在此不再赘述。
接下来,可以对衬底1002进行构图,以形成鳍状结构。例如,这可以如下进行。具体地,在衬底1002上按设计形成构图的光刻胶1006。通常,光刻胶1006被构图为一系列平行的等间距线条。然后,如图2所示,以构图的光刻胶1006为掩模,对衬底1002进行刻蚀如反应离子刻蚀(RIE),从而形成鳍状结构F1和F2。在此,对衬底1002的刻蚀可以进行到阱区1004中。之后,可以去除光刻胶1006。
这里需要指出的是,通过刻蚀所形成的(鳍状结构F1、F2之间的)沟槽的形状不一定是图2中所示的规则矩形形状,可以是例如从上到下逐渐变小的锥台形。另外,所形成的鳍状结构的位置和数目不限于图2所示的示例。
另外,鳍状结构不限于通过直接对衬底进行构图来形成。例如,可以在衬底上外延生长另外的半导体层,对该另外的半导体层进行构图来形成鳍状结构。如果该另外的半导体层与衬底之间具有足够的刻蚀选择性,则在对鳍状结构进行构图时,可以使构图基本上停止于衬底,从而实现对鳍状结构高度的较精确控制。
因此,在本公开中,表述“在衬底上形成鳍或鳍状结构”包括以任何适当的方式在衬底上形成鳍或鳍状结构,表述“在衬底上形成的鳍或鳍状结构”包括以任何适当的方式在衬底上形成的任何适当鳍或鳍状结构。
在通过上述处理形成鳍状结构之后,可以在衬底上形成隔离层。例如,如图3所示,可以在衬底上例如通过淀积形成电介质层(例如,可以包括氧化物如氧化硅),然后对淀积的电介质层进行回蚀,来形成隔离层1008。通常,淀积的电介质层可以完全覆盖鳍状结构F1、F2,并且在回蚀之前可以对淀积的电介质进行平坦化处理如化学机械抛光(CMP)。
在该实施例中,鳍状结构F1、F2被隔离层1008露出的部分随后充当各单元半导体器件的真正鳍。
这里需要指出的是,在图3的示例中,将隔离层1008的顶面示出为高于阱区1004的顶面(在这种情况下,可以如下所述形成穿通阻挡部)。但是,本公开不限于此。例如,隔离层1008的顶面可以稍稍低于阱区1004的顶面(在这种情况下,甚至可以省略下述穿通阻挡部)。
另外还需要指出的是,这种隔离层并不是必须的,特别是在衬底为SOI衬底的情况下。
为改善器件性能,特别是降低源漏泄漏,根据本公开的一示例,如图4中的箭头所示,通过离子注入来形成穿通阻挡部(PTS)1010。例如,对于n型器件而言,可以注入p型杂质,如B、BF2或In;对于p型器件,可以注入n型杂质,如As或P。离子注入可以垂直于衬底表面。控制离子注入的参数,使得PTS形成于鳍状结构F1、F2位于隔离层1008表面之下(即,各器件的真正鳍之下)的部分中,并且具有期望的掺杂浓度,例如约5E17-2E19cm-3,并且掺杂浓度可以高于衬底中阱区1004的掺杂浓度。应当注意,由于鳍状结构1004的形状因子(细长形),一部分掺杂剂(离子或元素)可能从鳍状结构的露出部分散射出去,从而有利于在深度方向上形成陡峭的掺杂分布。可以进行退火如尖峰退火、激光退火和/或快速退火,以激活注入的掺杂剂。这种PTS有助于减小源漏泄漏。
随后,可以在隔离层1008上形成与鳍相交的栅堆叠。例如,这可以如下进行。具体地,如图5(图5(a)示出了与之前的附图相同的截面,图5(b)示出了俯视图,其中图5(a)是沿图5(b)中AA′的截面图)所示,例如通过淀积,形成牺牲栅介质层1012。例如,牺牲栅介质层1012可以包括氧化物,厚度为约0.8-1.5nm。在图5所示的示例中,仅示出了“Π”形的牺牲栅介质层1012。但是,牺牲栅介质层1012也可以包括在隔离层1008的顶面上延伸的部分。然后,例如通过淀积,形成牺牲栅导体层。牺牲栅导体层可以包括多晶硅。牺牲栅导体层可以填充鳍之间的间隙,并可以进行平坦化处理例如CMP。
如图5(b)所示,可以根据设计布局,将牺牲栅导体层构图例如RIE为针对不同器件的两个部分1014-1和1014-2。在图5的示例中,牺牲栅导体层被构图为与鳍状结构相交的条形。根据另一实施例,还可以构图后的牺牲栅导体层为掩模,进一步对牺牲栅介质层1012进行构图(以去除牺牲栅介质层1012被牺牲栅导体层露出的部分)。如图5(b)所示,牺牲栅导体层1014-1和1014-2具有不同的宽度(图中竖直方向上的尺寸),对应于不同的栅长。
这里需要指出的是,在图5的示例中,仅为了图示的方便,将具有不同栅长的牺牲栅堆叠(包括牺牲栅介质层和牺牲栅导体层1014-1和1014-2)示出为相对设置。但是,本公开不限于此。它们的布局可以根据设计而定,并且还可以形成更多具有相同或不同栅长的牺牲栅堆叠。
在形成构图的牺牲栅导体之后,例如可以牺牲栅导体为掩模,进行晕圈(halo)注入和延伸区(extension)注入。
接下来,如图6(图6(a)示出了俯视图,图6(b)示出了沿图6(a)中BB′的截面图)所示,可以在栅导体层1014-1和1014-2的侧壁上形成栅侧墙1016。例如,可以通过淀积形成厚度约为5-20nm的氮化物(如氮化硅),然后对氮化物进行RIE,来形成栅侧墙1016。本领域技术人员知道多种方式来形成这种侧墙,在此不再赘述。由于尺寸关系(例如,牺牲栅导体层1014-1和1014-2比鳍状结构F1、F2高出较多)以及形状因素(例如,鳍状结构F1、F2之间的沟槽为从上到下逐渐变小的锥台形),栅侧墙1016基本上可以不形成于鳍状结构F1、F2露出的侧壁上。
这里需要指出的是,在图6(b)中,为了图示的方便,将侧墙1016示出为其顶面与牺牲栅导体层1014-1的顶面持平。但是,本公开不限于此。例如,侧墙1016的顶面可以低于牺牲栅导体层1014-1的顶面。
在形成栅侧墙之后,如图7(图7(a)示出了沿图6(a)中A1A1'线的截面图,图7(b)示出了沿图6(a)中BB′线的截面图)所示,可以牺牲栅导体及栅侧墙为掩模,进行源/漏(S/D)注入。在此,如图7(a)中的箭头所示,可以进行倾斜(angular)注入。对于p型器件,可以注入p型杂质,如B、BF2或In;对于n型器件,可以注入n型杂质,如As或P。随后,可以通过退火,激活注入的离子,以形成源/漏区1018。如图7(b)所示,由于牺牲栅堆叠的存在,鳍状结构F1与栅堆叠相交部分(沟道区将在其中形成)基本上不会受到S/D注入的影响(F2同样如此)。
由于S/D注入与PTS的杂质类型相反,S/D注入可以对源/漏区1018下方的PTS进行补偿,例如将PTS中的掺杂剂浓度降低到约5E16-1E19cm-3。从而,PTS大体上位于沟道区下方。尽管附图中仍然示出了源/漏区1018下方经补偿后的PTS,但是在实际中可以认为PTS已经不存在于源/漏区1018下方。这种补偿可以改善器件性能,特别是降低源/漏区与衬底之间的结电容。
随后,如图8所示,例如通过淀积,形成层间电介质层1020。层间电介质层1020例如可以包括氧化物。随后,对层间电介质层1020进行平坦化处理例如CMP。该CMP可以停止于栅侧墙1016,从而露出牺牲栅堆叠(具体地,露出牺牲栅导体层1014-1和1014-2)。在图8中,为了图示的方便,仅示出了位于栅侧墙1016以及牺牲栅导体1014-1下方的牺牲栅介质层。
接着,如图9所示,可以通过选择性刻蚀如RIE,选择性去除牺牲栅导体层1014-1(牺牲栅导体层1014-2同样如此)。于是,在栅侧墙1016内侧形成栅槽T。
随后,可以在栅槽T内填充真正的栅堆叠。例如,如图10(图10(a)示出了俯视图,图10(b)示出了沿图10(a)中AA′的截面图,图10(c)示出了沿图10(a)中BB′的截面图)所示,可以在图9所示的结构上,例如通过淀积,依次形成栅介质层1022和功函数调节层(1024-1,1024-2)。例如,栅介质层1022可以包括高K栅介质如HfO2等,厚度为约1-3nm;功函数调节层可以包括(对于n型器件)含Al的金属(或者Al合金)如TiAl(厚度为约0.2-3nm)、(对于p型器件)TiN(厚度为约1-3nm))等。对于n型器件,还可以形成TiN(厚度为约1-3nm)、TaN(厚度为约1-5nm)等附加层(例如,设于高K栅介质层和功函数调节层之间)。本领域技术人员可以设想多种高K栅介质/金属栅配置。
功函数调节层例如可以通过物理气相淀积(PVD)(例如,淀积约0.5-5nm厚)或者原子层淀积(ALD)(例如,淀积约0.5-3nm厚)和PVD(例如,淀积约0.1-5nm厚)的混合方法来形成。对于图中左侧的器件,其栅长较小,相应地功函数调节层1024-1形成得相对较薄;对于图中右侧的器件,其栅长较大,相应地功函数调节层1024-2形成得相对较厚。这种厚度不同的功函数调节层可以通过栅槽T本身的大小来实现。具体地,对于图中左侧的器件,其栅长较小,相应地栅槽较小(例如,宽度较小);而对于图中右侧的器件,其栅长较大,相应地栅槽较大(例如,宽度较大)。由于器件本身的尺度较小,例如在纳米量级(例如,栅长小于25nm),因此栅槽本身的大小将影响材料向其中的淀积特性。栅槽尺寸越小,则材料向其中的淀积越困难,从而在大致同等的条件下,在其中形成的材料层的厚度越薄。于是,可以通过单个淀积步骤,在不同大小的栅槽中形成不同厚度的功函数调节层。此现象可以用于控制短沟道效应,改善器件性能。
这里需要指出的是,在图10中,仅为了图示的方便,并没有示出淀积的栅介质层1022和功函数调节层(1024-1,1024-2)在栅槽T外的延伸部分。栅槽T外的部分可以在随后的处理例如平坦化处理中去除。
之后,可以如图11所示,可以进一步在栅槽中形成栅导体层1026-1和1026-2。栅导体层例如包括金属如W或Al等,并可以充满栅槽T内的空间。可以对栅导体层进行平坦化处理如CMP,平坦化处理可以栅侧墙1016为停止点(也去除了之前形成的栅介质层和功函数调节层在栅槽T外的延伸部分)。另外,在形成栅导体层之前,可以先通过例如淀积形成扩散阻挡层(未示出)。扩散阻挡层可以包括TiN,厚度为约2-5nm。
在以上的描述中,对于各层的构图、刻蚀等技术细节并没有做出详细的说明。但是本领域技术人员应当理解,可以通过各种技术手段,来形成所需形状的层、区域等。另外,为了形成同一结构,本领域技术人员还可以设计出与以上描述的方法并不完全相同的方法。另外,尽管在以上分别描述了各实施例,但是这并不意味着各个实施例中的措施不能有利地结合使用。
以上对本公开的实施例进行了描述。但是,这些实施例仅仅是为了说明的目的,而并非为了限制本公开的范围。本公开的范围由所附权利要求及其等价物限定。不脱离本公开的范围,本领域技术人员可以做出多种替代和修改,这些替代和修改都应落在本公开的范围之内。

Claims (13)

1.一种半导体设置,包括:
衬底;以及
在衬底上形成的第一单元半导体器件和第二单元半导体器件,
其中,
第一单元半导体器件包括第一栅堆叠,第二单元半导体器件包括第二栅堆叠,
第一栅堆叠包括第一功函数调节层,第二栅堆叠包括第二功函数调节层,以及
第一栅堆叠的栅长小于第二栅堆叠的栅长,且第一功函数调节层的厚度小于第二功函数调节层的厚度。
2.根据权利要求1所述的半导体设置,其中,第一栅堆叠和第二栅堆叠通过后栅工艺制造。
3.根据权利要求1所述的半导体设置,其中,对于n型单元半导体器件,功函数调节层包括含Al的金属;对于p型单元半导体器件,功函数调节层包括TiN。
4.根据权利要求1所述的半导体设置,其中,栅堆叠还包括设于功函数调节层上的金属栅导体。
5.根据权利要求4所述的半导体设置,其中,金属栅导体包括钨或铝。
6.根据权利要求1所述的半导体设置,其中,第一单元半导体器件和第二单元半导体器件均是鳍式场效应晶体管FinFET。
7.根据权利要求6所述的半导体设置,还包括:在至少一个FinFET的鳍与该FinFET的栅堆叠相交的部分下方形成的穿通阻挡部。
8.一种制造半导体设置的方法,包括:
在衬底上形成第一单元半导体器件和第二单元半导体器件,
其中,
第一单元半导体器件包括第一栅堆叠,第二单元半导体器件包括第二栅堆叠,
第一栅堆叠包括第一功函数调节层,第二栅堆叠包括第二功函数调节层,以及
第一栅堆叠的栅长小于第二栅堆叠的栅长,且第一功函数调节层的厚度小于第二功函数调节层的厚度。
9.根据权利要求8所述的方法,其中,利用后栅工艺,形成第一单元半导体器件和第二单元半导体器件。
10.根据权利要求9所述的方法,其中,形成第一单元半导体器件和第二单元半导体器件包括:
在衬底上分别形成第一牺牲栅堆叠和第二牺牲栅堆叠,其中第一牺牲栅堆叠的栅长对应于第一栅堆叠的栅长,第二牺牲栅堆叠的栅长对应于第二栅堆叠的栅长;
分别在第一牺牲栅堆叠和第二牺牲栅堆叠的侧壁上形成第一栅侧墙和第二栅侧墙;
以形成的牺牲栅堆叠和牺牲栅侧墙为掩模,形成源/漏区;
在衬底上形成层间电介质层,并对其平坦化,以露出牺牲栅堆叠;
选择性去除第一牺牲栅堆叠和第二牺牲栅堆叠,以分别在第一栅侧墙和第二栅侧墙内侧形成第一栅槽和第二栅槽;以及
分别在第一栅槽和第二栅槽内形成第一栅堆叠和第二栅堆叠。
11.根据权利要求10所述的方法,其中,通过同一淀积处理,分别在第一栅槽和第二栅槽内形成厚度不同的第一功函数调节层和第二功函数调节层。
12.根据权利要求10所述的方法,其中,
第一单元半导体器件和第二单元半导体器件均是鳍式场效应晶体管FinFET;
形成第一单元半导体器件和第二单元半导体器件包括:在衬底上分别形成第一鳍和第二鳍;以及
第一牺牲栅堆叠形成为与第一鳍相交,第二牺牲栅堆叠形成为与第二鳍相交。
13.根据权利要求12所述的方法,还包括:在形成第一鳍和第二鳍之后,进行离子注入,以至少在第一鳍和第二鳍之一的下方形成穿通阻挡部。
CN201310674438.3A 2013-12-11 2013-12-11 半导体设置及其制造方法 Active CN104716171B (zh)

Priority Applications (1)

Application Number Priority Date Filing Date Title
CN201310674438.3A CN104716171B (zh) 2013-12-11 2013-12-11 半导体设置及其制造方法

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
CN201310674438.3A CN104716171B (zh) 2013-12-11 2013-12-11 半导体设置及其制造方法

Publications (2)

Publication Number Publication Date
CN104716171A CN104716171A (zh) 2015-06-17
CN104716171B true CN104716171B (zh) 2018-07-06

Family

ID=53415324

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201310674438.3A Active CN104716171B (zh) 2013-12-11 2013-12-11 半导体设置及其制造方法

Country Status (1)

Country Link
CN (1) CN104716171B (zh)

Families Citing this family (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN107750387B (zh) * 2015-06-22 2021-10-01 英特尔公司 用于FinFET掺杂的双高度玻璃
CN106711040B (zh) * 2015-07-23 2020-11-27 中芯国际集成电路制造(上海)有限公司 一种半导体器件及其制造方法
CN107680938B (zh) 2016-08-01 2021-05-28 中芯国际集成电路制造(上海)有限公司 半导体装置的制造方法
CN107978565B (zh) * 2016-10-24 2020-07-07 中芯国际集成电路制造(上海)有限公司 一种半导体器件及其制造方法和电子装置
CN109148290B (zh) * 2017-06-28 2020-12-04 中芯国际集成电路制造(上海)有限公司 半导体装置的制造方法
US10461078B2 (en) * 2018-02-26 2019-10-29 Taiwan Semiconductor Manufacturing Co., Ltd. Creating devices with multiple threshold voltage by cut-metal-gate process
CN113745346B (zh) * 2021-08-27 2024-05-14 中国科学院微电子研究所 具有双栅结构的半导体器件及其制造方法及电子设备

Family Cites Families (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6373111B1 (en) * 1999-11-30 2002-04-16 Intel Corporation Work function tuning for MOSFET gate electrodes
US8933492B2 (en) * 2008-04-04 2015-01-13 Sidense Corp. Low VT antifuse device
JP5816560B2 (ja) * 2012-01-10 2015-11-18 ルネサスエレクトロニクス株式会社 半導体装置およびその製造方法
US8921178B2 (en) * 2012-05-16 2014-12-30 Renesas Electronics Corporation Semiconductor devices with self-aligned source drain contacts and methods for making the same

Also Published As

Publication number Publication date
CN104716171A (zh) 2015-06-17

Similar Documents

Publication Publication Date Title
CN104716171B (zh) 半导体设置及其制造方法
US10157799B2 (en) Multi-gate device and method of fabrication thereof
US9881998B1 (en) Stacked nanosheet field effect transistor device with substrate isolation
CN103928333B (zh) 半导体器件及其制造方法
US9178045B2 (en) Integrated circuit devices including FinFETS and methods of forming the same
CN103545370B (zh) 用于功率mos晶体管的装置和方法
CN103928335B (zh) 半导体器件及其制造方法
CN103928334B (zh) 半导体器件及其制造方法
US10211309B2 (en) Method and device for metal gate stacks
CN103811320B (zh) 半导体器件及其制造方法
WO2015054916A1 (zh) 一种FinFET结构及其制造方法
CN103855015A (zh) FinFET及其制造方法
US11177254B2 (en) Stacked transistor device
US9640660B2 (en) Asymmetrical FinFET structure and method of manufacturing same
TW201730935A (zh) 積體電路
CN112447600A (zh) 半导体装置的形成方法
US11387237B2 (en) Semiconductor component having a fin and an epitaxial contact structure over an epitaxial layer thereof
US10777468B1 (en) Stacked vertical field-effect transistors with sacrificial layer patterning
CN103811340B (zh) 半导体器件及其制造方法
US9595595B2 (en) Method of forming field effect transistors (FETs) with abrupt junctions and integrated circuit chips with the FETs
CN108198815A (zh) 半导体器件及其制造方法及包括该器件的电子设备
CN110970369B (zh) Cmos反相器结构及其形成方法
CN103824775A (zh) FinFET及其制造方法
CN105405890B (zh) 包括带电荷体侧墙的半导体器件及其制造方法
US11257925B2 (en) Semiconductor devices having a fin-shaped active region and methods of manufacturing the same

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant