CN104517795A - Control of Impedance of RF Delivery Path - Google Patents

Control of Impedance of RF Delivery Path Download PDF

Info

Publication number
CN104517795A
CN104517795A CN201410499774.3A CN201410499774A CN104517795A CN 104517795 A CN104517795 A CN 104517795A CN 201410499774 A CN201410499774 A CN 201410499774A CN 104517795 A CN104517795 A CN 104517795A
Authority
CN
China
Prior art keywords
radio frequency
plasma
radio
impedance
filter
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CN201410499774.3A
Other languages
Chinese (zh)
Other versions
CN104517795B (en
Inventor
阿列克谢·马拉霍塔诺夫
拉金德尔·迪恩赛
肯·卢彻斯
卢克·奥巴伦德
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Lam Research Corp
Original Assignee
Lam Research Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Lam Research Corp filed Critical Lam Research Corp
Publication of CN104517795A publication Critical patent/CN104517795A/en
Application granted granted Critical
Publication of CN104517795B publication Critical patent/CN104517795B/en
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32174Circuits specially adapted for controlling the RF discharge
    • H01J37/32183Matching circuits
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/02Details
    • H01J37/244Detectors; Associated components or circuits therefor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32174Circuits specially adapted for controlling the RF discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • H01J37/32449Gas control, e.g. control of the gas flow
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32532Electrodes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32623Mechanical discharge control means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32798Further details of plasma apparatus not provided for in groups H01J37/3244 - H01J37/32788; special provisions for cleaning or maintenance of the apparatus
    • H01J37/32807Construction (includes replacing parts of the apparatus)
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32798Further details of plasma apparatus not provided for in groups H01J37/3244 - H01J37/32788; special provisions for cleaning or maintenance of the apparatus
    • H01J37/32908Utilities
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/332Coating
    • H01J2237/3322Problems associated with coating
    • H01J2237/3323Problems associated with coating uniformity
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/334Etching
    • H01J2237/3343Problems associated with etching
    • H01J2237/3344Problems associated with etching isotropy

Abstract

The inventions relates to control of impedance of an RF delivery path. A plasma system includes an RF generator and a matchbox including an impedance matching circuit, which is coupled to the RF generator via an RF cable. The plasma system includes a chuck and a plasma reactor coupled to the matchbox via an RF line. The RF line forms a portion of an RF supply path, which extends between the RF generator through the matchbox, and to the chuck. The plasma system further includes a phase adjusting circuit coupled to the RF supply path between the impedance matching circuit and the chuck. The phase adjusting circuit has an end coupled to the RF supply path and another end that is grounded. The plasma system includes a controller coupled to the phase adjusting circuit. The controller is used for changing a parameter of the phase adjusting circuit to control an impedance of the RF supply path based on a tune recipe.

Description

The control of the impedance of radio frequency transport path
Technical field
Embodiments of the present invention relate to the impedance controlling radio frequency (RF) transport path.
Background technology
System based on plasma comprises the source of supply for generation of signal.System based on plasma comprises Received signal strength further to produce the room of plasma.Plasma is used for multiple operation, comprises clean wafer, at deposition on wafer oxide and film, and the etching a part of wafer of removing or a part of oxide and film.
Be difficult to some performances controlling plasma, such as, standing wave etc. in plasma, so that the uniformity that can control plasma etching or deposition.Control the difficulty of plasma properties cause etched wafer material or in deposition on wafer discontinuity of material.Such as, wafer is etched many in the first position apart from its center than the second position at distance center.Second distance to the distance at center than the first distance to the distance at center.As another example, wafer in the first distance than being etched few at second distance place.As another example again, on wafer in the first distance than depositing more material at second distance place.As another example again, on wafer at second distance place than depositing more material in the first distance.The inhomogeneities of etching causes the etching of M shape or the etching of W shape of wafer.The inhomogeneities of etching or deposition causes the chip yield reduced.
The execution mode described in the present invention is proposed just under this background.
Summary of the invention
Embodiments of the present invention provide equipment, the method and computer program of the impedance for controlling radio frequency (RF) transport path.Should be appreciated that these execution modes can be implemented with various ways, these modes are as, the method on method, equipment, system, hardware device or computer-readable medium.The following describe several execution mode.
In some embodiments, the impedance by controlling the radio frequency transport path (such as, radio frequency feed lines etc.) in plasma apparatus obtains uniformity.Radio frequency transport path is formed between radio freqnency generator and the gap of plasma chamber.By controlling electric capacity between the impedance matching circuit of plasma apparatus and the plasma reactor of plasma apparatus and/or inductance carrys out control group.When impedance is controlled, just obtain uniformity.
In numerous embodiments, the plasma system for the impedance controlling radio frequency feed lines comprises: radio freqnency generator and comprise the matching box of impedance matching circuit, and described matching box is connected to described radio freqnency generator via radio frequency cable.Described plasma system comprises chuck and is connected to the plasma reactor of described matching box via radio frequency line.Radio frequency line forms a part for radio frequency feed lines, and described radio frequency feed lines extends and extends to described chuck between described radio freqnency generator and described matching box.Plasma system comprises the phase regulating circuit in the described radio frequency feed lines that is connected between described impedance matching circuit and described chuck further.One end of described phase regulating circuit is connected to described radio frequency feed lines and other end ground connection.Described plasma system comprises the controller being connected to described phase regulating circuit.Described controller is used for according to regulating formula to change the parameter of described phase regulating circuit to control the impedance of described radio frequency feed lines.
In some embodiments, the system for the impedance controlling radio frequency feed lines comprises filter, and this filter is between impedance matching circuit and plasma chamber.Filter ground connection and impedance for controlling radio frequency transport path.Radio frequency transport path is used for the radiofrequency signal exported from impedance matching circuit towards plasma reactor conveying.
In several embodiments, the method for the impedance controlling radio frequency feed lines comprises from impedance matching circuit received RF signal, and this impedance matching circuit is connected to the radio freqnency generator of plasma apparatus.Described method comprises further: regulate the impedance of radiofrequency signal to obtain measurable factor; And the part via radio frequency feed lines sends the radiofrequency signal of described adjustment to plasma reactor.Plasma reactor is connected to impedance matching circuit.
Some advantages of some execution modes in above-mentioned execution mode comprise the etch-rate of control action on substrate or the uniformity of deposition rate.Such as, the impedance of FILTER TO CONTROL radio frequency transport path is to obtain uniformity.Change the impedance that the electric capacity of filter, inductance or their combination control radio frequency transport path.Uniformity controlling reduces the inhomogeneities of etch-rate and deposition rate.
The attendant advantages of some execution modes in above-mentioned execution mode comprises the impedance of the radio frequency transport path controlling plasma system to obtain the predetermined uniformity of etch-rate or deposition rate.Predetermined uniformity is stored in and regulates in formula.In addition, during predetermined uniformity and the one-to-one relationship between the inductance of filter, electric capacity or their combination are stored in and regulate and fill a prescription.Processor is through programming to obtain the predetermined uniformity regulating and enumerate in formula.Processor is from adjustment formula retrieval and can measure factor (such as, etch-rate, or deposition rate, or the uniformity of etch-rate, or the uniformity of deposition rate, or their combination etc.) corresponding inductance, electric capacity or their combination, and control the electric capacity of filter and/or inductance to obtain etch-rate, or deposition rate, or the uniformity of etch-rate, or the uniformity of deposition rate.The change of the inductance of filter, electric capacity or their combination allows processor to obtain the uniformity of etch-rate of etch substrate or the uniformity of the deposition rate at deposited on substrates material.The harmonic wave being changed to radio frequency suppling signal of the inductance of filter, electric capacity or their combination defines the low impedance path of ground connection, and this radio frequency suppling signal is for generation of another radiofrequency signal that will be fed to plasma chamber.By controlling radio-frequency harmonic, the standing wave being formed in the plasma of plasma indoor is controlled the uniformity obtaining etch-rate or deposition rate.
By reference to the accompanying drawings, other aspects can be understood from following detailed description.
Accompanying drawing explanation
By reference to the accompanying drawings, execution mode can be understood best with reference to following description.
Fig. 1 is for illustration of according to the normalized voltage of the execution mode described in the present invention execution mode in the coordinate diagram of the inhomogeneities of the high-order harmonic wave of 60MHz signal.
Fig. 2 is the curve chart that diagram changes along with the change of frequency of radio frequency (RF) signal and the change in gap according to standing wave wavelength X in the plasma of the execution mode described in the present invention.
Fig. 3 is curve chart, shows and changes along with the increase of capacitance of the filter connected with the input of top electrode and the increase of the harmonic wave of radiofrequency signal according to the inhomogeneities of the etch-rate of the execution mode described in the present invention.
Fig. 4 A is the view of the plasma apparatus of the impedance of the radio frequency feed lines for control system according to the execution mode described in the present invention.
Fig. 4 B is the view of the plasma apparatus of the impedance for controlling radio frequency feed lines according to the execution mode described in the present invention.
Fig. 5 is the view of the system according to the execution mode described in the present invention, for illustration of the difference connected with filter along radio frequency feed lines.
Fig. 6 A is the view of the system according to the execution mode described in the present invention, and this system is for regulating the impedance of the radio frequency suppling signal provided by impedance matching circuit.
Fig. 6 B is according to the filter of the execution mode described in the present invention view as capacity cell and/or inductance element.
Fig. 6 C is the view of the capacitive filter according to the execution mode described in the present invention.
Fig. 6 D is the electric capacity of an execution mode according to describing in the present invention and the view of inductance filter.
Fig. 6 E is the view according to the connector between the filter of the execution mode described in the present invention and radio frequency band, for illustration of the internal inductance of radio frequency band.
Fig. 7 is curve chart, shows the different capacitance size for filter according to the execution mode described in the present invention, and the etch-rate of etch substrate is relative to the change of the radius of substrate.
Fig. 8 is curve chart, according to the execution mode described in the present invention, shows when the output of matching box is connected to network analyser, the impedance of the output of matching box and the frequency of the third harmonic of the radio frequency suppling signal recorded near output.
Fig. 9 is curve chart, and show the relation of the frequency near according to the matched impedance of the execution mode described in the present invention and the fundamental frequency of radio frequency suppling signal that records in the output of matching box, the phase place for illustration of the radiofrequency signal near fundamental frequency lacks change.
Figure 10 A is curve chart, shows the different capacitances for filter according to the execution mode described in the present invention, the relation of the impedance in the output of filter and the frequency near the third harmonic exporting the radio frequency suppling signal that place calculates.
Figure 10 B is curve chart, the relation of the frequency near the third harmonic showing the radio frequency suppling signal that the impedance according to the filter of the execution mode described in the present invention and the output place at filter calculate.
Figure 11 is curve chart, show according to the execution mode described in the present invention for different capacitance size, the etch-rate of etch substrate is relative to the change of the radius of substrate.
Figure 12 is the view of the execution mode of system according to the execution mode described in the present invention, changes the uniformity of etch-rate or the uniformity of deposition rate for illustration of one or more in the pressure of the gap changed between upper/lower electrode, the filter connected with radio frequency feed lines and/or plasma indoor.
Figure 13 is according to the uniformity for the uniformity or deposition rate that control etch-rate of the execution mode described in the present invention or obtains etch-rate or obtain the view of reponse system of deposition rate.
Embodiment
Following execution mode describes the system and method for the impedance for controlling radio frequency (RF) transport path.It will be apparent that embodiments of the present invention can be implemented when not having these some or all of details.In other instances, the operation of known method is not described in detail so that can fuzzy embodiments of the present invention necessarily.
Fig. 1 is for illustration of the execution mode of normalized voltage at coordinate Figure 100 of the inhomogeneities of the high-order harmonic wave of 60MHz signal.High-order harmonic wave forms standing wave voltage in the plasma, and standing wave voltage causes etch substrate or in deposited on substrates discontinuity of material.
In numerous embodiments, high-order harmonic wave is three rank or more high-order harmonic wave.In some embodiments, high-order harmonic wave is second order or more high-order harmonic wave.
Coordinate Figure 100 illustrates the coordinate diagram of the normalized voltage amplitude of the radiofrequency signal recorded in the top electrode input of plasma chamber and the frequency of radiofrequency signal.In several embodiments, in the input measuring voltage of top electrode, and voltage is normalized to produce normalized voltage.
As shown in coordinate Figure 100, at three order harmonicses of radiofrequency signal, for the capacitance that three kinds from the filter that the input of top electrode connects are different, there is inhomogeneities in the voltage recorded in the input of top electrode.Such as, B3C1 bar is corresponding with the capacitance C1 of filter, and B3C2 bar is corresponding with the capacitance C2 of filter.
In some embodiments, the output of top electrode is the bottom surface at top electrode.End face is contrary with the bottom surface of top electrode and be the input at top electrode.The gap of plasma indoor faced by the bottom surface of top electrode.Gap is formed between the chuck such as top electrode and such as electrostatic chuck (ESC).Chuck is positioned at plasma indoor and the bottom electrode comprised in the face of top electrode.Chuck is arranged on the facility plate below bottom electrode.
In addition, as shown in coordinate Figure 100, at four order harmonicses and the ten order harmonics places of radiofrequency signal, there is inhomogeneities in the voltage recorded at top electrode.Such as, B4C1 bar is corresponding with capacitance C1, and B4C2 bar is corresponding with capacitance C2, and B4C3 bar is corresponding with the capacitance C3 of filter.As another example, at the tenth order harmonics place, B10C2 bar is corresponding with capacitance C2, and B10C3 bar is corresponding with capacitance C3.
In addition, coordinate Figure 100 shows the B1C1 bar corresponding with capacitance C1, the B1C2 bar corresponding with capacitance C2, and the B1C3 bar corresponding with capacitance C3.
In addition, the standing wave wavelength X that the table 1 below provided shows plasma reduces along with the increase of the frequency of radiofrequency signal.
Table 1
It should be noted that, in numerous embodiments, table 1 generates for the voltage of the gap between the indoor upper/lower electrode of plasma and radiofrequency signal.
In some embodiments, the standing wave wavelength in plasma is confirmed as applied radio-frequency voltage, the frequency of radiofrequency signal and the function in gap.Representative function is carried out in order to lower equation:
λ / λ 0 ≈ 40 v 0 1 / 10 l - 1 / 2 f - 2 / 5 - - - ( 1 )
Wherein, V 0be the radio-frequency voltage applied, l is the length in gap, λ 0be the standing wave wavelength recorded in vacuum, and f is the frequency of radiofrequency signal.The length l in gap is the distance between bottom electrode and top electrode.The radio-frequency voltage applied is applied on the electrode of plasma chamber.
Standing wave wavelength X reduces along with the increase of the harmonic frequency of radiofrequency signal the inhomogeneities causing etch-rate or deposition rate.The inhomogeneities of etch-rate is included in the substrate (such as, wafer) in plasma chamber or is used for the inhomogeneities of etch-rate of the wafer manufacturing integrated circuit.In addition, the inhomogeneities of deposition rate is included in the inhomogeneities of the speed of deposited on substrates material.Following Fig. 3 shows the inhomogeneities of etch-rate.
Fig. 2 is the execution mode of curve Figure 110, shows standing wave wavelength X in plasma and changes along with the change in the gap between the change of the frequency of radiofrequency signal and/or upper/lower electrode.Curve Figure 110 shows the relation of the frequency of standing wave wavelength X and radiofrequency signal.In curve Figure 110, the frequency of radiofrequency signal illustrates with megahertz (MHz), and standing wave wavelength illustrates with rice (m).As shown in curve Figure 110, for often kind of gap 1cm, 3cm and 5cm, standing wave wavelength X reduces along with the increase of the frequency of radiofrequency signal.
Fig. 3 is the execution mode of curve Figure 121, for illustrating the inhomogeneities of the etch-rate occurred with the change of distance along substrate radius.Curve Figure 121 shows the relation curve of the radius of etch-rate and substrate, and etch-rate is in dust (A/min) per minute, and substrate has three kinds of different capacitance C1 to C3.The radius of substrate is in millimeter (mm).
It should be noted that curve corresponding with capacitance C1 in curve Figure 121 is formed by the third harmonic of radiofrequency signal.Curve corresponding with capacitance C2 and C3 in curve Figure 121 is formed by the second harmonic of radiofrequency signal.Curve corresponding with capacitance C2 and C3 in curve Figure 121 has higher uniformity than curve corresponding with capacitance C1 in curve Figure 121.
It should be noted that, curve Figure 121 generates for process conditions, process conditions comprise: gap length, or the pressure of plasma indoor, or be fed to the combination of one or more process gass in plasma chamber, or the time of supply process gas, or the mark of the radio freqnency generator opened, or their combination etc.When the radio frequency produced is energized and supplies power, just open radio freqnency generator.
The example of radio freqnency generator comprises xMHz radio freqnency generator, y MHz radio freqnency generator and z MHz radio freqnency generator.The example of x, y, z comprises 2,27 and 60.It should be pointed out that the operating frequency of radio freqnency generator is not limited to and is included in other frequencies in predetermined frequency operating range.Such as, although generator refers to the radio freqnency generator of 2MHz in this article, generator works between 1MHz and 3MHz.And for example, although generator refers to the radio freqnency generator of 27MHz in this article, generator works between 25MHz and 29MHz.For another example, although generator refers to the radio freqnency generator of 60MHz in this article, generator works between 57MHz and 63MHz.
Fig. 4 A is the view of the execution mode of the plasma apparatus 200 of the impedance of radio frequency feed lines 220 for controlling plasma apparatus 200.Plasma apparatus 200 comprises radio freqnency generator 225, radio frequency cabling system 212, matching box 208, radio-frequency transmission line 216, plasma reactor 214.Radio freqnency generator 225 is connected to matching box 208 by radio frequency cabling system 212, and matching box 208 is connected to plasma reactor 214 by radio-frequency transmission line 216.
The example of radio freqnency generator 225 comprises x, y or z MHz radio freqnency generator.In some embodiments, the radio freqnency generator of any amount, such as, xMHz radio freqnency generator, y MHz radio freqnency generator and/or z MHz radio freqnency generator etc. are connected on matching box 208.Radio frequency cabling system 212 comprises radio frequency cable 212A and radio frequency cable sheath 212B.Radio frequency cable sheath 212B surrounds radio frequency cable 212A to protect radio frequency cable 212A.In some embodiments, the radio frequency cable of indication and radio frequency cable sheath are made up of conductor herein, such as, and metal etc.The example of metal comprises copper, or aluminium, or their combination etc.In numerous embodiments, radio frequency cable sheath 212B closes radio frequency cable 212A.Radio frequency cabling system 212 is connected on radio freqnency generator 225 and matching box 208.
Similarly, radio-frequency transmission line 216 comprises radio frequency rod 216A and radio-frequency channel 216B.Radio-frequency channel 216B surrounds radio frequency rod 216A.In numerous embodiments, radio-frequency channel 216B is made of metal, and surrounds and closes radio frequency rod 216A, and is separated by insulating material and radio frequency rod 216A.The example of metal comprises copper or aluminium or their combination etc.Radio-frequency transmission line 216 to be connected on matching box 208 and to be connected on plasma reactor 214.In some embodiments, radio-frequency channel 216B ground connection, such as, is connected to earthing potential, is connected to reference potential etc.
In some embodiments, radio frequency rod is called radio frequency line wherein.
In several embodiments, radio frequency rod is made of metal, such as, and copper, or aluminium, or their combination etc.
In numerous embodiments, insulator surrounds radio frequency rod 216A, and radio-frequency channel 216B closes insulator.Insulator is between radio frequency rod 216A and radio-frequency channel 216B.
It should be noted that, in some embodiments, each in radio frequency cable 212A, radio frequency cable sheath 212B, radio frequency rod 216A and radio-frequency channel 216B has the cross section of arbitrary shape, such as, circle, polygon, square etc.
Matching box 208 comprises housing 209.In some embodiments, housing 209 is closed and is surrounded impedance matching circuit 210 to protect impedance matching circuit 210.In addition, housing 209 close and the filter 218 surrounded in matching box 208 with protecting filter 218.
In some embodiments, opening the bindiny mechanism of the wall for the formation of housing 209, such as, after screw, bolt etc., filter 218 can touched in housing 209.In several embodiments, filter 218 is located in the housing (not shown) in the housing 209 of matching box 208.The housing (not shown) of filter 218 surrounds filter 218 and protecting filter 218, and allow housing 209 content readily removable except and installing filter 218.
Filter 218 is connected on the point 258 of radio frequency connecting piece 302, this point be connected to radio frequency rod 216A on and between impedance matching circuit 210 and plasma reactor 214.The example of radio frequency connecting piece 302 comprises one or more radio frequency band, or one or more radio frequency rod, or the combination of one or more radio frequency band and one or more radio frequency rod.In some embodiments, radio frequency band is made up of conducting metal, such as, and copper, or the mixture of copper and another metal, or aluminium, or their combination etc.Radio frequency connecting piece 302 is connected on impedance matching circuit 210.Filter 218 with filter 218 be connected to contrary 270B place, the end ground connection of end 270A a little on 258, such as, be connected to reference potential, or be connected to earthing potential, or be connected to zero potential etc.In some embodiments, reference potential is non zero potential.In numerous embodiments, point 258 is the points on radio frequency connecting piece 302.
In numerous embodiments, the end 270B of filter 218 ground connection is connected on the housing 209 of matching box 208.Housing 209 ground connection.
It should be noted that, in some embodiments, ground connection referring to used herein is connected to reference potential, or is connected to earthing potential, or is connected to zero potential etc.
Impedance matching circuit 210 comprises the combination of circuit element (such as, resistor, or capacitor, or inductor, or their combination etc.), thus makes the impedance in source and the impedance matching of load.Source radiofrequency supplier signal is to impedance matching circuit 210, and the radiofrequency signal that load consumption is supplied by impedance matching circuit 210.The radiofrequency signal that impedance matching circuit 210 receives from source is undertaken combining to produce the radiofrequency signal 228 being fed to plasma reactor 214 via radio frequency rod 216A by impedance matching circuit 210.
The example in source comprise in xMHz, yMHz and zMHz radio freqnency generator one or more, and radio freqnency generator is connected to one or more radio frequency cabling system of impedance matching circuit 210, and be connected in other circuit arbitrary between radio freqnency generator and impedance matching circuit 210.The example of load comprises and is connected in radio-frequency transmission line 216 between plasma reactor 214 and impedance matching circuit 210 and plasma reactor 214 and other circuit arbitrarily, such as, and filter 218 etc.Radio freqnency generator 225 produces supplies (such as, conveying etc.) radio frequency suppling signal 202 to impedance matching circuit 210 via radio frequency cable 212A.Such as, the driver of radio freqnency generator 225 and amplifier system produce radio frequency suppling signal 202.Radio frequency suppling signal 202 is combined to produce the radio frequency suppling signal 228 being fed to plasma reactor 214 via radio frequency rod 216A by impedance matching circuit 210 with the one or more radiofrequency signals received from other radio freqnency generator one or more.Radio frequency suppling signal 202 is combined with the one or more radiofrequency signals received from other radio freqnency generator one or more, with the impedance matching of the impedance and load that make source by impedance matching circuit 210.In some embodiments, when the impedance matching of the impedance in source and load, just create radio frequency suppling signal 228.
Filter 218 changes the power of (such as, reducing) radio frequency suppling signal 228 to produce radio frequency suppling signal 250 by filtering radio frequency suppling signal 228 at point 258.Such as, filter 218 provides electric capacity or inductance or their combination etc. to produce radio frequency suppling signal 250 in the path of radio frequency suppling signal 228.As another example again, the part of radio frequency suppling signal 228 via filter 218 ground connection to produce radio frequency suppling signal 250.
The plasma chamber 215 of plasma reactor 214 is provided with process gas, such as, and oxygen-containing gas, or oxygen, or fluoro-gas, or tetrafluoromethane (CF 4), or sulphur hexafluoride (SF 6), or perfluoroethane (C 2f 6), or their combination etc.The bottom electrode 224 received RF suppling signal 250 of plasma chamber 215 is to light process gas thus produce plasma in plasma chamber 215.Radio frequency suppling signal 250 is received via radio frequency rod 216A, radio frequency coupling 260 and radio frequency cylinder 222.Radio frequency coupling 260 is connected to the radio frequency rod 216A of radio-frequency transmission line 216 and is connected to radio frequency cylinder 222, and this radio frequency cylinder connection is to bottom electrode 224.
In some embodiments, bottom electrode 224 is parts of the chuck of plasma chamber 215.Such as, bottom electrode 224 embeds in chuck.
The end face 280 of bottom electrode 224 is in the face of the bottom surface 282 of top electrode 226.In some embodiments, top electrode 226 ground connection.
Top electrode 226 is in the face of bottom electrode 224.Substrate 284 is positioned at the top of bottom electrode 224 for processing.The example of process substrate 284 comprises clean substrate 284, or etch substrate 284, or the oxide on etch substrate 284 top, or on substrate 284 deposition materials, such as, oxide, dioxide, photo-induced corrosion resistant material etc., or their combination.
The RF return signals 290 that plasma generation reflects towards radio freqnency generator 225 from plasma reactor 214 via RF return path 221.RF return signals 290 is transported to the housing 209 of matching box 208 via radio-frequency channel 216B.
RF return signals 290 via housing 209 at least partially and be sent to radio freqnency generator 225 via radio frequency cable sheath 212B.Such as, RF return signals 290 via radio frequency cable sheath 212B towards the radio driver of radio freqnency generator 225 and amplifier system reflection.
In some embodiments, radio frequency feed lines 220 comprises the radio frequency cylinder 222 of radio frequency cable 212A, impedance matching circuit 210, radio frequency connecting piece 302, radio frequency rod 216A, radio frequency coupling 260 and plasma reactor 214.In the execution mode using two or more radio freqnency generators, radio frequency feed lines comprises radio frequency cable radio freqnency generator being connected to impedance matching circuit 210, independent path in impedance matching circuit 210, and the combinatorial path in impedance matching circuit 210.Each independent Path Connection in impedance matching circuit 210 is to corresponding radio freqnency generator with emitting radio frequency signal, and combinatorial path receives the combination via the radiofrequency signal of independent outlet openings.In Figure 4 A, radio frequency feed lines 220 is expressed as and advances to the bottom electrode 224 of plasma chamber 215 and the dotted line separated with RF return path 221 from radio freqnency generator 225.Radio frequency feed lines 220 is paths of one or more radio frequency suppling signal.
In some embodiments, RF return path 221 comprises the C shape covering of plasma chamber 215, the ground loop of plasma reactor 214, the radio frequency band of plasma reactor 214, the hearth electrode housing of plasma reactor 214, the rack earth of plasma reactor 214, radio-frequency channel 216B, is connected to grounded part and the radio frequency cable sheath 212B of the housing 209 of radio-frequency channel 216B.In Figure 4 A, RF return path 221 is expressed as the dotted line advancing to radio freqnency generator 225 from plasma reactor 214.RF return path 221 is paths of one or more RF return signals.
In numerous embodiments, the ground connection at least partially of RF return path 221, such as, is connected to earthed voltage, or is connected to reference voltage etc.
Fig. 4 B is the view of the execution mode of the plasma apparatus 300 of impedance for controlling radio frequency feed lines 220.Plasma apparatus 300 comprises radio freqnency generator 225, radio frequency cabling system 212, matching box 208, radio-frequency transmission line 216, and plasma reactor 214.Plasma apparatus 300 is similar to plasma apparatus 200 (Fig. 4 A), and difference is that filter 218 is positioned at outside the housing 209 of matching box 208.Such as, point 304 place of filter 218 on radio frequency rod 216A is connected to radio frequency rod 216A.
Filter 218 is connected at the point 304 of end 270A and end 270B ground connection.Such as, end 270A is connected on the radio frequency rod 216A of received RF suppling signal 228.
When filter 218 be positioned at housing 209 outer time, easily touch filter 218.Such as, when housing 209 comprises door to enter the shell of housing 209, compared with the filter 218 in housing 209, the filter touched outside housing 209 is easy.In some embodiments, the filter 218 outside housing 209 is closed in housing (not shown) with protecting filter 218.
In some embodiments, be not housing 209, but another housing less than housing 209 is used for closing impedance matching circuit 210.Such as, the volume of small shell is less than the volume of housing 209.
Filter 218 is at point 304 received RF suppling signal 228 and regulate the power of radio frequency suppling signal 228 to produce radio frequency suppling signal 250.Such as, filter 218 provides impedance to reduce the power of radio frequency suppling signal 228 by giving radio frequency suppling signal 228.As another example again, filter 218 has and is applied on radio frequency suppling signal 218 to regulate the electric capacity of the impedance of radio frequency suppling signal 228, inductance or their combination.
Fig. 5 is the view of the execution mode of system 310, for illustration of the difference that filter 218 connects along radio frequency feed lines 312.System 310 comprises plasma reactor 316, and plasma reactor 316 is the example of plasma reactor 214 (Fig. 4 A, Fig. 4 B).
Plasma reactor 316 comprises plasma chamber 320 and radio frequency cylinder 222.Plasma reactor 316 comprises further and returns radio frequency band 360 and 362, ground loop 332 and hearth electrode housing 372.Plasma chamber 320 comprises top electrode 322, top electrode ennation 328, C shape covering 330, ground loop 332 and chuck assembly.Chuck assembly comprises chuck 334 and facility plate 336.Substrate 338 as the example of substrate 284 (Fig. 4 A, Fig. 4 B) is positioned at the top of the chuck 334 for process substrate 338.The example of process substrate 338 comprises clean substrate 338, or etch substrate 338, or the oxide on etch substrate 338 top, or deposition materials (such as on substrate 338, oxide, dioxide, photo-induced corrosion resistant material etc.) or their combination.Top electrode 322 is examples of top electrode 226 (Fig. 4 A, Fig. 4 B).
C shape covering 330 comprises the slit for controlling the pressure in plasma chamber 320.Such as, open slit to increase the gas flow flowing through slit, thus reduce the air pressure in the gap 340 of plasma chamber 320.Close slit to reduce gas flow, thus increase the air pressure in gap 340.Gap 340 is formed between top electrode 322 and chuck 334 bottom electrode.
In numerous embodiments, hearth electrode housing 372 has arbitrary shape, such as, cylindrical, square, polygon etc.
In numerous embodiments, radio frequency cylinder 222 is not cylinder and has polygonal shape, such as, and rectangular shape, square configuration etc.
Top electrode ennation 328 surrounds top electrode 322.C shape covering 330 comprises part 330A and 330B.Ground loop 332 comprises ground loop part 332A and another ground loop part 332B.Hearth electrode housing 372 comprises hearth electrode housing parts 372A, another hearth electrode housing parts 372B, and another hearth electrode housing parts 372C also had.Each hearth electrode housing parts 372A and 372B forms the sidewall of hearth electrode housing 372.Hearth electrode housing 372C forms the diapire of hearth electrode housing 372.Plasma reactor 316 comprises rack earth 240, and this rack earth 240 comprises rack earth part 240A and another rack earth part 240B further.The application number that C shape covering, rack earth and the example returning radio frequency band refer in submission on November 21st, 2012 is 13/684,098, and US publication is the patent application of 2013-0133834, and the full content of this application is incorporated herein by way of reference.
The end face 381 of chuck 334 is in the face of the bottom surface 380 of top electrode 322.Plasma chamber 320 is surrounded by top electrode 322 and top electrode ennation 328.Plasma chamber 320 is surrounded by C shape covering 330 and chuck 334 further.
Ground loop 332 is positioned at below C shape covering 330.In some embodiments, ground loop 332 to be positioned at below C shape covering 330 and to be adjacent.Return radio frequency band 360 and be connected to ground loop part 332A, and return radio frequency band 362 and be connected to ground loop part 332B.Return radio frequency band 360 and be connected to hearth electrode housing parts 372A, and return radio frequency band 362 and be connected to hearth electrode housing parts 372B.Hearth electrode housing parts 372A is connected to rack earth part 240A, and hearth electrode housing parts 372B is connected to rack earth part 240B.Rack earth part 240A is connected to the radio-frequency channel 232 of ground connection via hearth electrode housing parts 372A, and rack earth part 240B is connected to the radio-frequency channel 232 of ground connection via hearth electrode housing parts 372B and 372C.The radio-frequency channel 232 of ground connection is the example of radio-frequency channel 216B (Fig. 4 A, Fig. 4 B).
In some embodiments, hearth electrode housing parts 276 is the cylindrical shells surrounding radio frequency cylinder 222.Radio frequency cylinder 222 is the media for carrying radio frequency suppling signal 250.Radio frequency cylinder 222 is connected on radio frequency rod 230 via radio frequency coupling 260, and radio frequency coupling 260 comprises one or more radio frequency band, one or more radio frequency rod, or the combination of one or more radio frequency band and one or more radio frequency rod.Radio frequency rod 230 is examples of radio frequency rod 216A (Fig. 4 A, Fig. 4 B).
Tie point 241 is positioned at the output of impedance matching circuit 210.Impedance matching circuit 210 is positioned at the housing 252 of matching box 251.Matching box 251 is examples of matching box 208 (Fig. 4 A, Fig. 4 B), and housing 252 is examples of housing 209 (Fig. 4 A, Fig. 4 B).
Radio frequency band 238 is connected to the output of impedance matching circuit 210 at tie point 241.The input of radio frequency band 238 is provided to from the signal of impedance matching circuit 210 output.Such as, the combination from the signal of two or more in xMHz, yMHz and zMHz radio freqnency generator is supplied to radio frequency band 238 from the output of impedance matching circuit 210.
Radio frequency band 238 has output at tie point 242.Tie point 242 is connected to another radio frequency band 244.Radio frequency band 238 and 244 is positioned at housing 252.
It should be noted that, in some embodiments, the radio frequency band of any amount is connected between tie point 241 and 242.In addition, in some embodiments, a part for radio frequency band 244 extends to outside housing 252 via the opening of housing 252.
Radio frequency band 244 is connected to radio frequency rod 230 at tie point 246, and this tie point is located on or near the sidewall 252A of housing 252.Radio frequency rod 230 extends to via the opening in hearth electrode housing parts 372B in the shell 390 formed by hearth electrode housing 372 and facility plate 336.
Tie point 398 is positioned at radio frequency cylinder 222.Such as, tie point 398 is positioned at the input of radio frequency cylinder 222.The input of radio frequency cylinder 222 is connected to the output of radio frequency coupling 260.In numerous embodiments, tie point 398 is positioned at the optional position along radio frequency cylinder 222.Such as, tie point 398 is positioned at the main center of radio frequency cylinder 222 or the output of radio frequency cylinder 222.The output of radio frequency cylinder 222 is connected to chuck 334 via facility plate 336.
In some embodiments, filter 218 is connected to radio frequency coupling 260 at end 270A and is connected to hearth electrode housing parts 372A via end 270B, or hearth electrode housing parts 372B, or will the hearth electrode housing parts 372C of ground connection.
When filter 218 is connected to interface 241, filter 218 regulates the radio frequency suppling signal 228 exported from impedance matching circuit 110 to produce radio frequency suppling signal 250 at tie point 241.Radio frequency suppling signal 250 is fed to chuck 334 to produce plasma in plasma chamber 320 via radio frequency band 238, radio frequency band 244, radio frequency rod 230, radio frequency coupling 260 and radio frequency cylinder 222.
In addition, when filter 218 is connected to tie point 242, the radio frequency suppling signal 228 exported from impedance matching circuit 110 is fed to filter 218 via radio frequency band 230.Filter 218 regulates radio frequency suppling signal 228 to produce radio frequency suppling signal 250 at tie point 242.Radio frequency suppling signal 250 is fed to chuck 334 to produce plasma in plasma chamber 320 via radio frequency band 244, radio frequency rod 230, radio frequency coupling 260 and radio frequency cylinder 222.
When filter 218 is connected to tie point 246, the radio frequency suppling signal 228 exported from impedance matching circuit 110 is fed to filter 218 via radio frequency band 238 and radio frequency band 244.Filter 218 regulates radio frequency suppling signal 228 to produce radio frequency suppling signal 250 at tie point 246.Radio frequency suppling signal 250 is fed to chuck 334 to produce plasma in plasma chamber 320 via radio frequency rod 230, radio frequency coupling 260 and radio frequency cylinder 222.
In addition, when filter 218 is connected to tie point 398, the radio frequency suppling signal 228 exported from impedance matching circuit 110 is fed to filter 218 via radio frequency band 230, radio frequency band 244, radio frequency rod 230 and radio frequency coupling 260.Filter 218 regulates radio frequency suppling signal 228 to produce radio frequency suppling signal 250 at tie point 398.Radio frequency suppling signal 250 is fed to chuck 334 to produce plasma in plasma chamber 320 via radio frequency cylinder 222.
The end 270A of filter 218 is connected to tie point 241, or tie point 242, or tie point 246, or tie point 398.In some embodiments, when end 270A is connected to tie point 241 or tie point 242 or tie point 246, end 270B is connected to the housing 252 of ground connection.In different embodiments, when end 270A is connected to tie point 246, end 270B is connected to the radio-frequency channel 232 of ground connection.In some embodiments, when end 270A is connected to tie point 398, end 270B is connected to rack earth part 240A, or be connected to rack earth part 240B, or be connected to hearth electrode housing parts 372A, or be connected to hearth electrode housing parts 372B, or be connected to hearth electrode housing parts 372C.
In some embodiments, filter 218 is positioned at the radio-frequency channel 232 of ground connection and is connected with it, or is positioned at the shell 390 surrounded by hearth electrode housing 372 and facility plate 336 (Fig. 5).
In some embodiments, four filters, such as, four filters 218 etc., are connected to tie point 241, tie point 242, tie point 246 and tie point 398.Such as, filter 218 is connected to tie point 241, and another filter 218 is connected to tie point 242, and another filter 218 is connected to tie point 246, and also have filter 218 is connected to tie point 398.As another example, filter 218, such as, the first filter etc., be connected to tie point 241, the second filter is connected to tie point 242, and the 3rd filter is connected to tie point 246, and the 4th filter is connected to tie point 398.Second, third has the impedance identical or different with the impedance of the first filter with any one in the 4th filter.In some embodiments, second, third has the impedance different from the impedance of the first filter with two or more in the 4th filter.In some embodiments, the impedance of filter is based on the electric capacity of filter and/or inductance.
In numerous embodiments, filter 218 is connected to the arbitrfary point along radio frequency feed lines 312, and this radio frequency feed lines extends via radio frequency band 238, radio frequency band 244, radio frequency rod 230, radio frequency coupling 260 and radio frequency cylinder 222.Radio frequency feed lines 312 is parts of radio frequency feed lines 220 (Fig. 4 A, Fig. 4 B).
In numerous embodiments, the filter of any amount is connected to radio frequency feed lines 220.
The bottom surface 380 of the part of the RF return signals 290 of the plasma generation in plasma chamber 320 pole 322 is from power on sent to the bottom surface portions 383A of top electrode ennation 328, be sent to C shape covering part 330A further, be sent to ground loop part 332A further, be sent to further and return radio frequency band 360, be sent to hearth electrode housing parts 372A further, be sent to rack earth part 240A further, be sent to hearth electrode housing parts 372C further, be sent to hearth electrode housing parts 372B further, be sent to the radio-frequency channel 232 of ground connection.
In some embodiments, the bottom surface 380 of the part of RF return signals 290 pole 322 from power on, further along the bottom surface portions 383A of top electrode ennation 328, further along C shape covering part 330A, further along ground loop part 332A, further along returning radio frequency band 360, further along hearth electrode housing parts 372A, further along rack earth part 240A, further along hearth electrode housing parts 372C, further along hearth electrode housing parts 372B, be sent to the radio-frequency channel 232 of ground connection.
In addition, another part of RF return signals 290 is sent to the bottom surface portions 383B of top electrode ennation 328 from bottom surface 380, be sent to C shape covering part 330B further, be sent to ground loop part 332B further, be sent to further and return radio frequency band 362, be sent to hearth electrode housing parts 372 further, be sent to rack earth part 240B further, be sent to the radio-frequency channel 232 of ground connection.
In numerous embodiments, a part for the RF return signals of the plasma generation in plasma chamber 320 is from bottom surface 380, along the bottom surface portions 338B of top electrode ennation 328, further along C shape covering part 330B, further along ground loop part 332B, further along returning radio frequency band 362, further along hearth electrode housing parts 372B, further along rack earth part 240B, be sent to the radio-frequency channel 232 of ground connection.
It should be noted that, the bottom surface 380 of the part of the RF return path of RF return signals 290 pole 322 from power on, along the bottom surface portions 338A of top electrode ennation 328, further along C shape covering part 330A, further along ground loop part 332A, further along returning radio frequency band 360, further along hearth electrode housing parts 372A, further along rack earth part 240A, further along hearth electrode housing parts 372C, further along hearth electrode housing parts 372B, extend to the radio-frequency channel 232 of ground connection.
In addition, the bottom surface 380 of the part of the RF return path of RF return signals 290 pole 322 from power on, along the bottom surface portions 338B of top electrode ennation 328, further along C shape covering part 330B, further along ground loop part 332B, further along returning radio frequency band 362, further along hearth electrode housing parts 372B, further along rack earth part 240B, extend to the radio-frequency channel 232 of ground connection.
In numerous embodiments, the filter 218 of ground connection makes the electric current at least partially of radiofrequency signal 228 lead to ground connection.
In some embodiments, be not radio frequency band 238, but the radio frequency band of any amount is connected to the output of impedance matching circuit 210.In addition, in numerous embodiments, be not radio frequency band 244, but the radio frequency band of any amount is connected to radio frequency band 238 and radio frequency rod 230.
Fig. 6 A is the view of the execution mode of system 402 for regulating the impedance of radio frequency suppling signal 228 provided by impedance matching circuit 210 (Fig. 4 A, Fig. 4 B).Filter 218 is connected to connector 404, and connector 404 is examples of tie point 241,242,246 or 398 (Fig. 5).Filter 218 is connected to connector 404 via radio frequency band 430.
In some embodiments, connector 404 is the points along radio frequency feed lines 312 (Fig. 5) or radio frequency feed lines 220 (Fig. 4 A, Fig. 4 B) (such as, thereon etc.).
Controller 254 is connected to driver 408, such as, and motor driver, or current feedback circuit, or transistor etc. in groups.Driver 408 is connected to the motion 410 connected with filter 218, such as, and motor, or rotor etc.Such as, motion 410 is connected to one or more condenser armatures of filter 218.As another example, motion 410 is connected to the end of the inductor of filter 218.
Controller used herein comprises processor and memory device.Processor used herein relates to central processing unit, microprocessor, application-specific integrated circuit (ASIC), digital signal processor or programmable logic device.The example of memory device comprises arbitrary access processor (RAM) and read-only memory (ROM).In some embodiments, memory device is flash memory or hard disk, or memory disk redundant array (RAID) or their combination.
Controller 254 comprises the memory device of processor 412 and stored adjustment formula 256.Adjustment formula 256 comprises the corresponding relation between etch-rate E1 to E4 and regulating parameter T1 to T4.Such as, etch-rate E1 is mapped to regulating parameter T1, and etch-rate E2 is mapped to regulating parameter T2, and etch-rate E3 is mapped to regulating parameter T3, and etch-rate E4 is mapped to regulating parameter T4.Adjustment formula 256 comprises the corresponding relation between the uniformity U1 to U4 of etch-rate and regulating parameter T1 to T4.Such as, regulating parameter T1 is mapped to the uniformity U1 of etch-rate, and regulating parameter T2 is mapped to the uniformity U2 of etch-rate, and regulating parameter T3 is mapped to the uniformity U3 of etch-rate, and regulating parameter T4 is mapped to the uniformity U4 of etch-rate.
In some embodiments, the uniformity of etch-rate or etch-rate, or the uniformity of deposition rate or deposition rate refers to measurable factor in this article.
The example of regulating parameter comprises impedance, or inductance (L), or electric capacity (C), or voltage, or electric current, or complex voltage and telegram in reply stream, or their combination.The example of the uniformity of etch-rate comprises the curve of the relation represented between etch-rate and the radius of substrate.Such as, every bar curve of the curved line relation illustrated between the oxide etch rate of etch substrate and the radius of substrate represents the uniformity of etch substrate.In some embodiments, the uniformity of etch-rate comprises the etch-rate be in the preassigned deviation of etch-rate.
In numerous embodiments, adjustment formula 256 comprises the corresponding relation between the etch-rate of any amount and the regulating parameter of equal number.In several embodiments, adjustment formula 256 comprises the corresponding relation between the uniformity of any amount and the regulating parameter of equal number.
In some embodiments, be not etch-rate E1 to E4, but use deposition rate D1 to D4, and deposition rate and regulating parameter T1 to T4 have one-to-one relationship.Such as, deposition rate D1 is corresponding with regulating parameter T1, and deposition rate D2 is corresponding with regulating parameter T2, and so on.In addition, in these embodiments, uniformity U1 to U4 is the uniformity of deposition rate, and each uniformity and regulating parameter have one-to-one relationship.Such as, the uniformity U1 in deposition rate is mapped to regulating parameter T1, and the uniformity U2 of deposition rate is mapped to regulating parameter T2, and so on.In some embodiments, the every bar curve being shown in the oxide deposition rate of deposited on substrates oxide and the curved line relation of wafer radius represents the uniformity at deposited on substrates.In some embodiments, the uniformity of deposition rate comprises the deposition rate be in the preassigned deviation of deposition rate.
Processor 412 obtains etch-rate or uniformity through programming with the regulating parameter by obtaining correspondence.Such as, processor 412 is through programming to obtain etch-rate E2 or uniformity U2 by obtaining regulating parameter T2.Processor 412 sends signal 410 to driver 408 to produce the electric current of one or more amount, thus obtains etch-rate or uniformity.When receiving signal 410, driver 408 produces the electric current 412 of one or more amount to be supplied to motion 410.When receiving electric current 412, motion 410 performs one or more rotary motion or one or more translational motion, thus makes filter 218 move to obtain regulating parameter, and then produces radio frequency suppling signal 250.Such as, motion 410 changes the distance between the condenser armature of filter 218, or the length of the inductor of change filter 218.Produce radio frequency suppling signal 250 to contribute to obtaining etch-rate or uniformity.
Fig. 6 B is filter 218 as the view of the execution mode of capacity cell and/or inductance element.Filter 218 comprises multiple capacitor and/or multiple inductor.Capacitor is one another in series, and inductor is one another in series.In addition, the inductor of filter 218 is connected with the capacitor of filter 218.
Fig. 6 C is the view of the execution mode of capacitive filter 420, is the example of filter 218.Filter 420 comprises variable capacitor 234, has an end 422A and another end 422B.End 422A is the example of end 270A (Fig. 4 A, Fig. 4 B), and end 422B is the example of end 270B (Fig. 4 A, Fig. 4 B).The electric capacity of varying capacitors 234 is carried out by the distance between the plate of varying capacitors 234.Such as, motion 410 (Fig. 6 A) is connected to end 422A or end 422B with the distance between varying capacitors plate.The change of electric capacity changes the impedance of radio frequency suppling signal 228 to produce radio frequency suppling signal 426, and radio frequency suppling signal 426 is the example of radio frequency suppling signal 250.
Fig. 6 D is the view of the execution mode of capacitor and inductor filter 460, is the example of filter 218.Filter 460 comprises the variable inductor 236 of connecting with variable capacitor 234.Filter 460 has an end 460A and another end 460B.End 460A is the example of end 270A (Fig. 4 A, Fig. 4 B), and end 460B is the example of end 270B (Fig. 4 A, Fig. 4 B).The change of the inductance of inductor 236 and/or the electric capacity of capacitor 234 changes the impedance of radio frequency suppling signal 228 to produce radio frequency suppling signal 442, and radio frequency suppling signal 442 is the example of radio frequency suppling signal 250.
Fig. 6 E is the view of the execution mode of connection between filter 218 and radio frequency band 430, for illustrating the internal inductance of radio frequency band 430.The end 270A of filter 218 is connected to the radio frequency band 430 with internal inductance 470.
In some embodiments, different radio frequency band is for changing the inductance of radio frequency band.Such as, when radio frequency band 430 replaces to another radio frequency band, the inductance of radio frequency band 430 changes the impedance changing radio frequency suppling signal 228.
Fig. 7 is the execution mode of curve chart 480, shows for different capacitances, the relation of the etch-rate of etch substrate and the radius of substrate.Along with the electric capacity of filter 218 increases to C15 from C11, the uniformity of etch-rate increases.By controlling the electric capacity of filter 218, achieve the inhomogeneities of control (such as, reducing) etch-rate.In addition, illustrated in curve chart 480 that etch-rate exists inhomogeneities when not using filter 218.
In some embodiments, near the center of substrate, such as, in preset range, from the center etc. of substrate, measure the inhomogeneities of etch-rate.
Fig. 8 is the execution mode of curve chart 490, show when the output of matching box 208 is connected to network analyser, the impedance (such as, matched impedance etc.) of the output of matching box 208 (Fig. 4 A) and the relation curve of the frequency of the third harmonic of the radio frequency suppling signal recorded near output.Network analyser is connected to the output of matching box 208 after disconnecting output from plasma chamber 215 (Fig. 4 A).It should be noted that, curve chart 490 shows the impedance of (Fig. 6 A to Fig. 6 E) for various capacitance C of filter 218.
Along with electric capacity C increases, the phase place depicting the curve of matched impedance changes in the scope of the third harmonic of the radio frequency suppling signal recorded near the output being positioned at matching box 209.The impedance of matching box 209 is reduced to corresponding minimum value at humorous wave point.In some embodiments, filter 218 (Fig. 4 A, Fig. 4 B) refers to the phase place of change radio frequency suppling signal 228 in this article to produce the phase regulating circuit of radio frequency suppling signal 250 (Fig. 4 A, Fig. 4 B).In numerous embodiments, regulate the phase place of radio frequency suppling signal 228 to obtain measurable factor.Phase place change is for controlling the impedance of radio frequency suppling signal 228 to reduce the inhomogeneities of etch-rate or to obtain etch-rate.
Fig. 9 is the execution mode of curve chart 500, shows matched impedance and the graph of a relation of frequency near the fundamental frequency of radio frequency suppling signal that records in the output of matching box 208, for illustration of lacking phase place change and the matched impedance condition of resonance near zero.As in graph 500, along with the capacitance C of filter 218 and/or different radio frequency band the various number of turns (such as, the radio frequency band similar to radio frequency band 430 (Fig. 6 A to Fig. 6 E)) change, the phase place of the curve of the matched impedance in the scope near the fundamental frequency depicting the radio frequency suppling signal recorded in the output of matching box 209 does not change.Therefore, to be subject to the impact of the number of turns change of the change of electric capacity C and/or different radio frequency band minimum for fundamental frequency.
Figure 10 A is the execution mode of curve chart 502, the relation curve of the frequency near the impedance (such as, filter impedance etc.) showing the output of filter 218 (Fig. 4 A) and the third harmonic of radio frequency suppling signal calculated in output.As shown in curve chart 502, such as, when filter impedance near zero time etc., the phase place of filter impedance changes along with the change of electric capacity C.
Figure 10 B is the execution mode of curve chart 506, shows the relation curve of filter impedance and the frequency of the third harmonic of the radio frequency suppling signal to calculate in the output of filter 218.As shown in curve chart 506, such as, when filter impedance near zero time etc., the phase place of filter impedance changes along with the change of the inductance of radio frequency band (such as, similar with radio frequency band 430 radio frequency band etc.).
It should be noted that, although change with reference to the inductance of radio frequency band the curve chart describing Fig. 8, Fig. 9 and Figure 10 B, when the inductance change of variable inductor 236 (Fig. 6 D), curve chart is applicable equally.
Figure 11 is the execution mode of curve chart 510, shows for different electric capacity, the relation of the etch-rate of etch substrate and the radius of substrate.Along with the electric capacity of filter 218 increases, the uniformity of etch-rate increases.In addition, illustrated in curve chart 510 that etch-rate exists inhomogeneities when not using filter 218.
Figure 12 is the view of the execution mode of system 520, obtains the uniformity of etch-rate and/or deposition rate and/or etch-rate and/or the uniformity of deposition rate for illustration of one or more in the gap 340 (Fig. 5) changed in plasma chamber 320 (Fig. 5), filter 218 and pressure 540.
In some embodiments, except use filter 218, the inhomogeneities of etch-rate or deposition rate is also controlled by control gap 340.Such as, processor, such as, processor 412 (Fig. 6 A) etc. is connected to via motor driver the motor be connected with top electrode 322 (Fig. 5) and/or chuck 334 bottom electrode (Fig. 5).Processor transmits a signal to motor driver, thus makes the rotor turns of motor.Rotor turns causes the distance between upper/lower electrode to change with control gap, and this gap comprises the distance between upper/lower electrode.The change in gap is for reducing inhomogeneities.In several embodiments, the gap between upper/lower electrode comprises the spatial volume between upper/lower electrode.In numerous embodiments, processor controls motor with control gap via motor driver, control filter 218 (Fig. 4 A, Fig. 4 B) electric capacity and/or inductance by transmitting a signal to motor driver 408 (Fig. 6 A) simultaneously, thus reduce inhomogeneities.
In numerous embodiments, by controlling the pressure size in plasma chamber 320 (Fig. 5) and the inhomogeneities by using filter 218 to reduce etch-rate or deposition rate.Such as, processor, such as, processor 412 (Fig. 6 A) etc., are connected to the motor be connected with valve.Valve is connected to the source of the gas storing one or more gases via tubing.Processor transmits a signal to motor driver to operate the rotor of motor, thus opens or closes valve.Opening and closing valve enters the flow in the gap 340 between the inherent upper/lower electrode of plasma chamber 320 with control (such as, increase or reduction etc.) one or more gases.The increase of flow makes the pressure increase in room, and the reduction of flow makes pressure reduce.Except use filter 218 (Fig. 4 A and Fig. 4 B), pressure is for reducing inhomogeneities.In some embodiments, the pressure of processor while controlling filter 218 in control gap, to reduce inhomogeneities.
Figure 13 is the view of the execution mode of the system 550 using feedback loop, and this feedback loop is for controlling the uniformity of etch-rate, or the uniformity of deposition rate, or obtains etch-rate, or obtains deposition rate.System 550 comprises plasma chamber 552, and plasma chamber 552 is the example of plasma chamber 215 (Fig. 4 A, Fig. 4 B).Plasma chamber 552 is connected to radio frequency feed lines 220.Such as, the bottom electrode of plasma chamber 552 is connected to radio frequency feed lines 220.
Transducer 554, such as, electric current and voltage probe, voltage probe etc., are connected to radio frequency feed lines 220.Such as, transducer 554 is connected to radio frequency rod 216A (Fig. 4 A).The parameter of the radio frequency suppling signal 250 exported from filter 218 measured by transducer 554, such as, and voltage or complex voltage and electric current etc.
Transducer 554 provides measurement parameter to processor 412.Processor 412 determines whether the parameter recorded is similar to the regulating parameter regulated in formula 256 (Fig. 6 A), such as, to equal or in its preset range etc.Regulating parameter and the uniformity of etch-rate or the uniformity of deposition rate or deposition rate or etch-rate corresponding.When the parameter determining to record is not similar to regulating parameter, processor 412 transmits a signal to driver 408, and driver 408 produces the current signal being sent to motion 410.When receiving the current signal of output from driver 408, motion 410 rotate or translation with the length of the distance between the plate changing the variable capacitor of filter 218 and/or variable inductor.Distance between change plate and/or length are to obtain for determining whether the parameter recorded is similar to the regulating parameter of regulating parameter.On the other hand, when the parameter determining to record is not similar to regulating parameter, the signal of the distance between processor 412 does not send for varying capacitors plate or the length of inductor.
It is to be noted, although reference example such as the parallel-plate plasma rooms such as capacitance coupling plasma room describe aforesaid operations, but in some embodiments, aforesaid operations is applicable to the plasma chamber of other types, such as, comprise the plasma chamber of inductively coupled plasma (ICP) reactor, transformer coupled plasma (TCP) reactor, conductor instrument, dielectric instrument, comprise the plasma chamber etc. of electron cyclotron resonace (ECR) reactor.Such as, x MHz radio freqnency generator, y MHz radio freqnency generator and/or z MHz radio freqnency generator are attached to the inductor of ICP plasma indoor.
It should be appreciated that, although aforesaid operations is described to be implemented by processor 412 (Fig. 6 A), but in some embodiments, can be implemented by the one or more one or more digital signal processors in x MHz radio freqnency generator, y MHz radio freqnency generator and z MHz radio freqnency generator.
It should be noted that, above-mentioned execution mode some in, radio frequency suppling signal is supplied to the bottom electrode of chuck, and top electrode ground connection.In numerous embodiments, radio frequency suppling signal is supplied to top electrode, and the bottom electrode ground connection of chuck.
In some embodiments, the various computer system configurations of operation as herein described is implemented, and these computer system configurations comprise hand-hold type hardware cell, microprocessor system, based on microprocessor or programmable consumer electronics, microcomputer, mainframe computer etc.Execution mode can also be implemented by distributed computing environment (DCE), and wherein task is performed by the remote processing hardware equipment by network connections.
Reading above-mentioned execution mode after, be to be understood that execution mode can adopt relate to storage data in computer systems, which by computer-implemented various operations.These operations are the operations needing to carry out physical quantity physical operations.Any aforesaid operations of a part for formation execution mode described herein is available mechanically actuated operation.Execution mode also relates to hardware device or the equipment for performing these operations.In some embodiments, equipment can also be specifically constructed to for special-purpose computer.When being defined as special-purpose computer, computer can also perform and other process of a part for non-dedicated, program operation or subprogram while carrying out dedicated operations.In numerous embodiments, these operations perform by being stored in calculator memory, buffer memory or by the all-purpose computer that one or more computer programs that network obtains optionally activate or configure.When obtaining data by network, by other computers on network, such as, cloud computing resources processes these data.
One or more execution mode can also manufacture the computer-readable code on non-transitory computer-readable medium.Non-transitory computer-readable medium is the arbitrary memory device etc. that can store data, and these data subsequently can by computer system reads.The example of non-transitory computer-readable medium comprises hard disk drive, network attached storage (NAS), ROM, RAM, compact read-only memory (CD-ROM), CD-R driver (CD-R), erasable optical disk driver (CD-RW), tape and other optics and non-optical data storage hardware equipment.Non-transitory computer-readable medium can comprise the computer-readable tangible medium in the computer system being distributed in network coupling, makes computer-readable code store in a distributed fashion and perform.
Although the particular order in embodiments describes the operation of some said methods, but be to be understood that, in numerous embodiments, perform other house-keeping between operations, or operate and make these operate in slightly different time execution through overregulating, or be distributed in and allow process operation in the system of carrying out with the relevant multiple intervals of processing, as long as mode desirably performs the processing of overlap-add operation.
In some embodiments, not departing from the scope described in the multiple execution modes described by the present invention, one or more feature of any execution mode and one or more integrate features of any other execution mode.
Although describe some details of above-mentioned execution mode to understand clearly object, will be appreciated that, certain changes and modifications can be carried out within the scope of the appended claims.Therefore, these execution modes should regard illustrative and not restrictive as, and these execution modes are not limited to the details that provides herein, but can modify in the scope of appended claims and equivalents.

Claims (26)

1. a plasma system, it comprises:
Radio frequency (RF) generator;
Matching box, it comprises inductance match circuit, and this inductance match circuit is connected to described radio freqnency generator via radio frequency cable;
Plasma reactor, it is connected to described matching box via radio frequency line, described plasma reactor comprises chuck, wherein said radio frequency line forms a part for radio frequency feed lines, and described radio frequency feed lines extends and extends to described chuck between described radio freqnency generator and described matching box; And
Phase regulating circuit, it is connected to the described radio frequency feed lines between described impedance matching circuit and described chuck, and described phase regulating circuit has and is connected to the first end of described radio frequency feed lines and the second end of ground connection; And
Controller, it is connected to described phase regulating circuit, and described controller is used for according to regulating formula to change the parameter of described phase regulating circuit to control the impedance of described radio frequency feed lines.
2. plasma system according to claim 1, wherein said impedance matching circuit makes the impedance matching of the impedance of described radio freqnency generator and radio frequency cabling system and described plasma reactor and radio-frequency transmission line, described impedance matching circuit is connected to described plasma reactor by described radio-frequency transmission line, described radio-frequency transmission line comprises the radio-frequency channel of described radio frequency line and ground connection, and described radio frequency cabling system comprises described radio frequency cable and radio frequency cable sheath.
3. plasma system according to claim 1, wherein said plasma reactor comprises bottom electrode and top electrode, described top electrode is in the face of described bottom electrode, described impedance matching circuit is configured to provide radiofrequency signal to arrive described phase regulating circuit, described phase regulating circuit is configured to regulate the impedance of described radiofrequency signal to produce another radiofrequency signal, and then be provided to described plasma reactor via described radio frequency line, and described plasma reactor is configured to form plasma in described plasma reactor when described bottom electrode receives this another radiofrequency signal from described phase regulating circuit.
4. plasma system according to claim 1, the radio-frequency channel that wherein said radio frequency line is grounded surrounds.
5. plasma system according to claim 1, wherein said phase regulating circuit comprises variable capacitor or variable inductor or their combination.
6. plasma system according to claim 1, wherein said phase regulating circuit is connected to described radio frequency feed lines via connector, and described connector is between radio frequency band and the output of described impedance matching circuit.
7. plasma system according to claim 1, wherein said phase regulating circuit is connected to described radio frequency feed lines via the connector between the first radio frequency band and the second radio frequency band, and wherein said first radio frequency band is connected to the output of described impedance matching circuit.
8. plasma system according to claim 1, wherein said phase regulating circuit is connected to described radio frequency feed lines via the connector between described radio frequency line and radio frequency band, and described radio frequency band is connected to the output of described impedance matching circuit via another radio frequency band.
9. plasma system according to claim 1, wherein said plasma reactor comprises the radio frequency cylinder being connected to described chuck, wherein said phase regulating circuit is connected to described radio frequency feed lines via the cylindrical connector of described radio frequency, and described radio frequency cylinder is connected to described radio frequency line via radio frequency coupling.
10. plasma system according to claim 1, wherein said matching box has housing, and it is outer and be connected to described radio frequency line that described phase regulating circuit is positioned at described housing.
11. plasma systems according to claim 1, wherein said matching box has housing, described phase regulating circuit is positioned at described housing and is connected to the radio frequency band being positioned at described housing, and described impedance matching circuit is connected to described radio frequency line by described radio frequency band.
12. plasma systems according to claim 1, wherein radio frequency feed lines comprises the one or more radio frequency band being connected to described impedance matching circuit, wherein said plasma reactor comprises radio frequency cylinder, wherein said radio frequency line is connected to described radio frequency cylinder via radio frequency coupling, wherein said radio frequency feed lines comprises described radio frequency line, described radio frequency coupling and described radio frequency cylinder further, and wherein said radio frequency cylinder is connected to described chuck.
13. plasma systems according to claim 1, the electric capacity of described phase regulating circuit or inductance or their combination are depended in wherein said impedance.
14. plasma systems according to claim 1, wherein control described impedance with the uniformity of the uniformity or deposition rate that obtain etch-rate or deposition rate or etch-rate, in wherein said adjustment formula, specify the uniformity of described etch-rate or described deposition rate or described etch-rate or the uniformity of described deposition rate.
15. plasma systems according to claim 1, the parameter value that wherein said controller is configured to based on recording in described radio frequency feed lines controls described phase regulating circuit.
16. plasma systems according to claim 1, wherein said phase regulating circuit comprises filter, and described filter is for filtering the radio frequency suppling signal that receives from described impedance matching circuit and sending filtered described signal to described chuck.
17. plasma systems according to claim 16, wherein said filter comprises the variable capacitor of connecting with variable inductor or fixed value inductor, wherein said variable inductor or fixed value inductor ground connection, wherein said variable capacitor is connected to described radio frequency feed lines.
18. 1 kinds of systems, it comprises filter, described filter is between impedance matching circuit and plasma chamber, wherein said filter ground connection, described filter, for controlling the impedance of radio frequency (RF) signal that will be transported to described plasma chamber, exports described radiofrequency signal from described impedance matching circuit towards described plasma reactor.
19. systems according to claim 18, wherein said filter comprises capacitor, inductor or their combination.
20. systems according to claim 18, wherein said impedance matching circuit makes the impedance matching of the impedance of described radio freqnency generator and radio frequency cabling system and described plasma reactor and radio-frequency transmission line, described impedance matching circuit is connected to described plasma reactor by wherein said radio-frequency transmission line, wherein said impedance matching circuit is positioned at described matching box, wherein said matching box is connected to described radio freqnency generator via described radio frequency cabling system, and wherein said plasma reactor comprises described plasma chamber.
21. systems according to claim 18, wherein said plasma chamber comprises bottom electrode and top electrode, and described top electrode is in the face of described bottom electrode.
22. systems according to claim 18, the electric capacity of described filter or inductance or their combination are depended in wherein said impedance.
23. 1 kinds of methods, it comprises:
From impedance matching circuit received RF (RF) signal, described impedance matching circuit is connected to the radio freqnency generator of plasma apparatus;
Regulate the impedance of described radiofrequency signal to obtain measurable factor; And
Via radio frequency feed lines a part send through regulate described radiofrequency signal to plasma reactor, described plasma reactor is connected to described impedance matching circuit.
24. methods according to claim 23, wherein when the impedance matching of the impedance of the radio frequency cabling system that described impedance matching circuit makes described radio freqnency generator and connect with described radio freqnency generator and described plasma reactor and radio-frequency transmission line, produce the described radiofrequency signal received from described impedance matching circuit, described plasma reactor is connected to described impedance matching circuit by described radio-frequency transmission line.
25. methods according to claim 23, wherein said measurable factor comprises: when wafer is present in the plasma chamber of described plasma reactor to the etch-rate that described wafer etches, the deposition rate of deposition materials on the wafer when described wafer is present in described plasma chamber, or when one or more wafer is present in described plasma chamber to the uniformity of the etch-rate that this one or more wafer etches, or when one or more wafer is present in described plasma chamber in the uniformity of the deposition rate of this one or more deposition on wafer material, or their combination.
26. methods according to claim 23, wherein regulate described impedance to comprise and regulate the electric capacity of filter, inductance or their combination, described filter is connected to described impedance matching circuit.
CN201410499774.3A 2013-10-01 2014-09-25 Control of Impedance of RF Delivery Path Active CN104517795B (en)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US14/043,574 US9401264B2 (en) 2013-10-01 2013-10-01 Control of impedance of RF delivery path
US14/043,574 2013-10-01

Publications (2)

Publication Number Publication Date
CN104517795A true CN104517795A (en) 2015-04-15
CN104517795B CN104517795B (en) 2017-04-26

Family

ID=52739423

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201410499774.3A Active CN104517795B (en) 2013-10-01 2014-09-25 Control of Impedance of RF Delivery Path

Country Status (6)

Country Link
US (2) US9401264B2 (en)
JP (1) JP6692598B2 (en)
KR (1) KR102283608B1 (en)
CN (1) CN104517795B (en)
SG (2) SG10201406211SA (en)
TW (1) TWI650792B (en)

Cited By (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN107316794A (en) * 2016-04-26 2017-11-03 北京北方华创微电子装备有限公司 A kind of semiconductor processing device
CN109001500A (en) * 2018-08-21 2018-12-14 淮阴师范学院 A kind of radio-frequency devices test probe of embedded inductance
CN109526082A (en) * 2017-11-17 2019-03-26 恩智浦美国有限公司 The RF heating system with phase-detection for impedance network tuning
CN110945622A (en) * 2017-04-07 2020-03-31 朗姆研究公司 Auxiliary circuit for frequency tuning auxiliary dual-level pulse in radio frequency matching network
CN111162814A (en) * 2018-11-07 2020-05-15 比亚迪股份有限公司 Impedance adjuster, radio frequency circuit and electronic equipment

Families Citing this family (29)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE102011080035A1 (en) * 2011-07-28 2013-01-31 Hüttinger Elektronik Gmbh + Co. Kg Method and device for protecting passive components connected to a high frequency generator
US9337000B2 (en) * 2013-10-01 2016-05-10 Lam Research Corporation Control of impedance of RF return path
US9401264B2 (en) * 2013-10-01 2016-07-26 Lam Research Corporation Control of impedance of RF delivery path
US10049862B2 (en) * 2015-04-17 2018-08-14 Lam Research Corporation Chamber with vertical support stem for symmetric conductance and RF delivery
US10153136B2 (en) * 2015-08-04 2018-12-11 Lam Research Corporation Hollow RF feed with coaxial DC power feed
US9761414B2 (en) * 2015-10-08 2017-09-12 Lam Research Corporation Uniformity control circuit for use within an impedance matching circuit
EP3280224A1 (en) 2016-08-05 2018-02-07 NXP USA, Inc. Apparatus and methods for detecting defrosting operation completion
EP3280225B1 (en) 2016-08-05 2020-10-07 NXP USA, Inc. Defrosting apparatus with lumped inductive matching network and methods of operation thereof
US10109460B2 (en) * 2016-11-30 2018-10-23 Lam Research Corporation Universal non-invasive chamber impedance measurement system and associated methods
JP6832800B2 (en) * 2017-06-21 2021-02-24 東京エレクトロン株式会社 Plasma processing equipment
US10020168B1 (en) * 2017-07-20 2018-07-10 Lam Research Corporation Systems and methods for increasing efficiency of delivered power of a megahertz radio frequency generator in the presence of a kilohertz radio frequency generator
US10917948B2 (en) 2017-11-07 2021-02-09 Nxp Usa, Inc. Apparatus and methods for defrosting operations in an RF heating system
EP3503679B1 (en) 2017-12-20 2022-07-20 NXP USA, Inc. Defrosting apparatus and methods of operation thereof
EP3547801B1 (en) 2018-03-29 2022-06-08 NXP USA, Inc. Defrosting apparatus and methods of operation thereof
US10916409B2 (en) 2018-06-18 2021-02-09 Lam Research Corporation Active control of radial etch uniformity
US10952289B2 (en) 2018-09-10 2021-03-16 Nxp Usa, Inc. Defrosting apparatus with mass estimation and methods of operation thereof
US11800608B2 (en) 2018-09-14 2023-10-24 Nxp Usa, Inc. Defrosting apparatus with arc detection and methods of operation thereof
CN111326389B (en) * 2018-12-17 2023-06-16 中微半导体设备(上海)股份有限公司 Capacitively coupled plasma etching equipment
US11166352B2 (en) 2018-12-19 2021-11-02 Nxp Usa, Inc. Method for performing a defrosting operation using a defrosting apparatus
US11039511B2 (en) 2018-12-21 2021-06-15 Nxp Usa, Inc. Defrosting apparatus with two-factor mass estimation and methods of operation thereof
KR102295727B1 (en) * 2019-09-05 2021-08-31 한양대학교 산학협력단 Substrate treating apparatus
CN112530775A (en) * 2019-09-18 2021-03-19 中微半导体设备(上海)股份有限公司 Plasma processing device
US11361941B2 (en) * 2020-06-19 2022-06-14 Applied Materials, Inc. Methods and apparatus for processing a substrate
KR102603678B1 (en) * 2020-10-13 2023-11-21 세메스 주식회사 Apparatus for treating substrate and method for treating apparatus
CN112538619A (en) * 2020-11-05 2021-03-23 宣城睿晖宣晟企业管理中心合伙企业(有限合伙) Control method and device of radio frequency power supply
TW202226897A (en) * 2020-11-06 2022-07-01 日商東京威力科創股份有限公司 Filter circuit
WO2022108754A1 (en) * 2020-11-18 2022-05-27 Lam Research Corporation Uniformity control circuit for impedance match
JP2022102688A (en) 2020-12-25 2022-07-07 株式会社ダイヘン High frequency power system
US20230207266A1 (en) 2021-12-29 2023-06-29 Semes Co., Ltd. Substrate processing apparatus, harmonic control unit and harmonic control method

Citations (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2002316040A (en) * 2001-04-24 2002-10-29 Matsushita Electric Ind Co Ltd Plasma processing method and device
US6727655B2 (en) * 2001-10-26 2004-04-27 Mcchesney Jon Method and apparatus to monitor electrical states at a workpiece in a semiconductor processing chamber
CN1511335A (en) * 2001-03-30 2004-07-07 ��ķ�о����޹�˾ Inductive plasma processor including current sensor for plasma excitation coil
CN1602543A (en) * 2001-12-14 2005-03-30 东京毅力科创株式会社 Plasma processor
CN1684224A (en) * 2004-03-31 2005-10-19 朗姆研究公司 Methods and array for creating a mathematical model of a plasma processing system
CN1875466A (en) * 2003-11-07 2006-12-06 朗姆研究公司 Methods and apparatus for optimizing a substrate in a plasma processing system
CN101500370A (en) * 2008-02-01 2009-08-05 恩益禧电子股份有限公司 Plasma processing apparatus
CN101536615A (en) * 2007-01-25 2009-09-16 Mks仪器有限公司 RF power amplifier stability network
WO2013078434A1 (en) * 2011-11-24 2013-05-30 Lam Research Corporation Plasma processing chamber with flexible symmetric rf return strap

Family Cites Families (61)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5195045A (en) 1991-02-27 1993-03-16 Astec America, Inc. Automatic impedance matching apparatus and method
JPH0555171A (en) * 1991-08-29 1993-03-05 Mitsubishi Electric Corp Method and apparatus for plasma-processing
US6313584B1 (en) * 1998-09-17 2001-11-06 Tokyo Electron Limited Electrical impedance matching system and method
US6178919B1 (en) * 1998-12-28 2001-01-30 Lam Research Corporation Perforated plasma confinement ring in plasma reactors
US6242360B1 (en) * 1999-06-29 2001-06-05 Lam Research Corporation Plasma processing system apparatus, and method for delivering RF power to a plasma processing
DE19933842A1 (en) * 1999-07-20 2001-02-01 Bosch Gmbh Robert Device and method for etching a substrate by means of an inductively coupled plasma
US6335288B1 (en) * 2000-08-24 2002-01-01 Applied Materials, Inc. Gas chemistry cycling to achieve high aspect ratio gapfill with HDP-CVD
US6887339B1 (en) * 2000-09-20 2005-05-03 Applied Science And Technology, Inc. RF power supply with integrated matching network
JP3670206B2 (en) 2000-11-06 2005-07-13 アルプス電気株式会社 Performance evaluation method, maintenance method, performance management system, performance confirmation system, and plasma processing apparatus for plasma processing apparatus or plasma processing system
TWI279169B (en) 2002-01-24 2007-04-11 Alps Electric Co Ltd Plasma processing apparatus capable of performing uniform plasma treatment by preventing drift in plasma discharge current
US6703080B2 (en) * 2002-05-20 2004-03-09 Eni Technology, Inc. Method and apparatus for VHF plasma processing with load mismatch reliability and stability
JP4370789B2 (en) * 2002-07-12 2009-11-25 東京エレクトロン株式会社 Plasma processing apparatus and variable impedance means calibration method
US20040118344A1 (en) 2002-12-20 2004-06-24 Lam Research Corporation System and method for controlling plasma with an adjustable coupling to ground circuit
US7083702B2 (en) 2003-06-12 2006-08-01 Applied Materials, Inc. RF current return path for a large area substrate plasma reactor
US20050022736A1 (en) 2003-07-29 2005-02-03 Lam Research Inc., A Delaware Corporation Method for balancing return currents in plasma processing apparatus
JP2005116818A (en) * 2003-10-08 2005-04-28 Nec Yamagata Ltd Plasma generator
US7169256B2 (en) * 2004-05-28 2007-01-30 Lam Research Corporation Plasma processor with electrode responsive to multiple RF frequencies
US7666464B2 (en) * 2004-10-23 2010-02-23 Applied Materials, Inc. RF measurement feedback control and diagnostics for a plasma immersion ion implantation reactor
TWI298909B (en) * 2005-04-12 2008-07-11 Nat Univ Tsing Hua An inductively-coupled plasma etch apparatus and a feedback control method thereof
US7342361B2 (en) * 2005-05-11 2008-03-11 Dublin City University Plasma source
US8021521B2 (en) * 2005-10-20 2011-09-20 Applied Materials, Inc. Method for agile workpiece temperature control in a plasma reactor using a thermal model
US8262847B2 (en) * 2006-12-29 2012-09-11 Lam Research Corporation Plasma-enhanced substrate processing method and apparatus
CN101568997B (en) * 2007-07-04 2011-03-30 佳能安内华股份有限公司 Surface treatment apparatus
US8894804B2 (en) * 2007-12-13 2014-11-25 Lam Research Corporation Plasma unconfinement sensor and methods thereof
WO2009099661A2 (en) 2008-02-08 2009-08-13 Lam Research Corporation A protective coating for a plasma processing chamber part and a method of use
KR20110046437A (en) * 2008-07-07 2011-05-04 램 리써치 코포레이션 Rf biased capacitively coupled electrostatic probe device for characterizing a film in a plasma processing chamber
US8313664B2 (en) 2008-11-21 2012-11-20 Applied Materials, Inc. Efficient and accurate method for real-time prediction of the self-bias voltage of a wafer and feedback control of ESC voltage in plasma processing chamber
JP2010238730A (en) * 2009-03-30 2010-10-21 Tokyo Electron Ltd Plasma processing apparatus
US9767988B2 (en) * 2010-08-29 2017-09-19 Advanced Energy Industries, Inc. Method of controlling the switched mode ion energy distribution system
US9287086B2 (en) * 2010-04-26 2016-03-15 Advanced Energy Industries, Inc. System, method and apparatus for controlling ion energy distribution
CN102484063B (en) * 2009-08-31 2015-11-25 朗姆研究公司 Radio frequency (RF) ground connection return mechanism
US8330432B2 (en) * 2009-12-22 2012-12-11 Advanced Energy Industries, Inc Efficient active source impedance modification of a power amplifier
US20130059448A1 (en) * 2011-09-07 2013-03-07 Lam Research Corporation Pulsed Plasma Chamber in Dual Chamber Configuration
US9117767B2 (en) * 2011-07-21 2015-08-25 Lam Research Corporation Negative ion control for dielectric etch
US9362089B2 (en) * 2010-08-29 2016-06-07 Advanced Energy Industries, Inc. Method of controlling the switched mode ion energy distribution system
US9123762B2 (en) 2010-10-22 2015-09-01 Applied Materials, Inc. Substrate support with symmetrical feed structure
TWI455172B (en) 2010-12-30 2014-10-01 Semes Co Ltd Adjustable capacitor, plasma impedance matching device, plasma impedance mathching method, and substrate treating apparatus
US9059678B2 (en) * 2011-04-28 2015-06-16 Lam Research Corporation TCCT match circuit for plasma etch chambers
DE102011080035A1 (en) 2011-07-28 2013-01-31 Hüttinger Elektronik Gmbh + Co. Kg Method and device for protecting passive components connected to a high frequency generator
US8872525B2 (en) 2011-11-21 2014-10-28 Lam Research Corporation System, method and apparatus for detecting DC bias in a plasma processing chamber
US8652298B2 (en) * 2011-11-21 2014-02-18 Lam Research Corporation Triode reactor design with multiple radiofrequency powers
US10586686B2 (en) 2011-11-22 2020-03-10 Law Research Corporation Peripheral RF feed and symmetric RF return for symmetric RF delivery
US8898889B2 (en) * 2011-11-22 2014-12-02 Lam Research Corporation Chuck assembly for plasma processing
US9396908B2 (en) * 2011-11-22 2016-07-19 Lam Research Corporation Systems and methods for controlling a plasma edge region
KR102192742B1 (en) * 2011-11-23 2020-12-18 램 리써치 코포레이션 Peripheral rf feed and symmetric rf return for symmetric rf delivery
US9502216B2 (en) * 2013-01-31 2016-11-22 Lam Research Corporation Using modeling to determine wafer bias associated with a plasma system
US9320126B2 (en) * 2012-12-17 2016-04-19 Lam Research Corporation Determining a value of a variable on an RF transmission model
US8932429B2 (en) 2012-02-23 2015-01-13 Lam Research Corporation Electronic knob for tuning radial etch non-uniformity at VHF frequencies
US9111722B2 (en) * 2012-04-24 2015-08-18 Applied Materials, Inc. Three-coil inductively coupled plasma source with individually controlled coil currents from a single RF power generator
US9245720B2 (en) * 2012-06-12 2016-01-26 Lam Research Corporation Methods and apparatus for detecting azimuthal non-uniformity in a plasma processing system
US9210790B2 (en) * 2012-08-28 2015-12-08 Advanced Energy Industries, Inc. Systems and methods for calibrating a switched mode ion energy distribution system
US9408288B2 (en) 2012-09-14 2016-08-02 Lam Research Corporation Edge ramping
US9620334B2 (en) * 2012-12-17 2017-04-11 Lam Research Corporation Control of etch rate using modeling, feedback and impedance match
US9337000B2 (en) * 2013-10-01 2016-05-10 Lam Research Corporation Control of impedance of RF return path
US9401264B2 (en) * 2013-10-01 2016-07-26 Lam Research Corporation Control of impedance of RF delivery path
US9875881B2 (en) 2013-02-20 2018-01-23 Tokyo Electron Limited Plasma processing apparatus and plasma processing method
US9119283B2 (en) * 2013-03-14 2015-08-25 Lam Research Corporation Chamber matching for power control mode
US9406485B1 (en) * 2013-12-18 2016-08-02 Surfx Technologies Llc Argon and helium plasma apparatus and methods
US9306533B1 (en) * 2015-02-20 2016-04-05 Reno Technologies, Inc. RF impedance matching network
US9595424B2 (en) 2015-03-02 2017-03-14 Lam Research Corporation Impedance matching circuit for operation with a kilohertz RF generator and a megahertz RF generator to control plasma processes
US10109460B2 (en) 2016-11-30 2018-10-23 Lam Research Corporation Universal non-invasive chamber impedance measurement system and associated methods

Patent Citations (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN1511335A (en) * 2001-03-30 2004-07-07 ��ķ�о����޹�˾ Inductive plasma processor including current sensor for plasma excitation coil
JP2002316040A (en) * 2001-04-24 2002-10-29 Matsushita Electric Ind Co Ltd Plasma processing method and device
US6727655B2 (en) * 2001-10-26 2004-04-27 Mcchesney Jon Method and apparatus to monitor electrical states at a workpiece in a semiconductor processing chamber
CN1602543A (en) * 2001-12-14 2005-03-30 东京毅力科创株式会社 Plasma processor
CN1875466A (en) * 2003-11-07 2006-12-06 朗姆研究公司 Methods and apparatus for optimizing a substrate in a plasma processing system
CN1684224A (en) * 2004-03-31 2005-10-19 朗姆研究公司 Methods and array for creating a mathematical model of a plasma processing system
CN101536615A (en) * 2007-01-25 2009-09-16 Mks仪器有限公司 RF power amplifier stability network
CN101500370A (en) * 2008-02-01 2009-08-05 恩益禧电子股份有限公司 Plasma processing apparatus
WO2013078434A1 (en) * 2011-11-24 2013-05-30 Lam Research Corporation Plasma processing chamber with flexible symmetric rf return strap

Cited By (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN107316794A (en) * 2016-04-26 2017-11-03 北京北方华创微电子装备有限公司 A kind of semiconductor processing device
CN110945622A (en) * 2017-04-07 2020-03-31 朗姆研究公司 Auxiliary circuit for frequency tuning auxiliary dual-level pulse in radio frequency matching network
CN110945622B (en) * 2017-04-07 2023-09-12 朗姆研究公司 Auxiliary circuit for frequency tuning auxiliary double-level pulse in radio frequency matching network
CN109526082A (en) * 2017-11-17 2019-03-26 恩智浦美国有限公司 The RF heating system with phase-detection for impedance network tuning
CN109526082B (en) * 2017-11-17 2021-06-04 恩智浦美国有限公司 Radio frequency heating system with phase detection for impedance network tuning
CN109001500A (en) * 2018-08-21 2018-12-14 淮阴师范学院 A kind of radio-frequency devices test probe of embedded inductance
CN109001500B (en) * 2018-08-21 2024-01-02 淮阴师范学院 Radio frequency device test probe of embedded inductance
CN111162814A (en) * 2018-11-07 2020-05-15 比亚迪股份有限公司 Impedance adjuster, radio frequency circuit and electronic equipment
CN111162814B (en) * 2018-11-07 2021-01-19 比亚迪股份有限公司 Impedance adjuster, radio frequency circuit and electronic equipment

Also Published As

Publication number Publication date
US20150091441A1 (en) 2015-04-02
TWI650792B (en) 2019-02-11
KR20150039121A (en) 2015-04-09
JP2015097197A (en) 2015-05-21
TW201528321A (en) 2015-07-16
US20160307738A1 (en) 2016-10-20
US10157730B2 (en) 2018-12-18
US9401264B2 (en) 2016-07-26
CN104517795B (en) 2017-04-26
JP6692598B2 (en) 2020-05-13
SG10201808034UA (en) 2018-10-30
SG10201406211SA (en) 2015-05-28
KR102283608B1 (en) 2021-07-29

Similar Documents

Publication Publication Date Title
CN104517795A (en) Control of Impedance of RF Delivery Path
CN104517794A (en) Control of impedance of RF return path
TWI746579B (en) Systems for controlling directionality of ion flux at an edge region within a plasma chamber
KR102573954B1 (en) Systems and methods for tuning to reduce reflected power in multiple states
US10325759B2 (en) Multiple control modes
CN103703870B (en) Anion for dielectric etch controls
KR102282713B1 (en) Etch rate modeling and use thereof for in-chamber and chamber-to-chamber matching
CN103871810A (en) Method and system for determining value of variable on radio frequency (RF) transmission model
CN104053295B (en) Room matching is carried out using voltage mode control
US10297422B2 (en) Systems and methods for calibrating conversion models and performing position conversions of variable capacitors in match networks of plasma processing systems
JP2007208084A (en) Plasma processor
US20160028362A1 (en) High power filter with single adjust for multiple channels
KR20140113526A (en) Chamber matching for power control mode
TW202139251A (en) Systems and methods for using a transformer to achieve uniformity in processing a substrate
KR20150122605A (en) Soft pulsing
KR102452835B1 (en) Multiple control modes

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant