CN104471484A - 用于光刻术的量测 - Google Patents

用于光刻术的量测 Download PDF

Info

Publication number
CN104471484A
CN104471484A CN201380035631.0A CN201380035631A CN104471484A CN 104471484 A CN104471484 A CN 104471484A CN 201380035631 A CN201380035631 A CN 201380035631A CN 104471484 A CN104471484 A CN 104471484A
Authority
CN
China
Prior art keywords
asymmetry
degree
substrate
periodic structure
parameter
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CN201380035631.0A
Other languages
English (en)
Other versions
CN104471484B (zh
Inventor
毛瑞特斯·范德查尔
K·巴哈特塔卡里雅
H·斯米尔德
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
ASML Netherlands BV
Original Assignee
ASML Netherlands BV
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by ASML Netherlands BV filed Critical ASML Netherlands BV
Publication of CN104471484A publication Critical patent/CN104471484A/zh
Application granted granted Critical
Publication of CN104471484B publication Critical patent/CN104471484B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70605Workpiece metrology
    • G03F7/70616Monitoring the printed patterns
    • G03F7/70633Overlay, i.e. relative alignment between patterns printed by separate exposures in different layers, or in the same layer in multiple exposures or stitching
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01BMEASURING LENGTH, THICKNESS OR SIMILAR LINEAR DIMENSIONS; MEASURING ANGLES; MEASURING AREAS; MEASURING IRREGULARITIES OF SURFACES OR CONTOURS
    • G01B11/00Measuring arrangements characterised by the use of optical techniques
    • G01B11/26Measuring arrangements characterised by the use of optical techniques for measuring angles or tapers; for testing the alignment of axes
    • G01B11/27Measuring arrangements characterised by the use of optical techniques for measuring angles or tapers; for testing the alignment of axes for testing the alignment of axes
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01BMEASURING LENGTH, THICKNESS OR SIMILAR LINEAR DIMENSIONS; MEASURING ANGLES; MEASURING AREAS; MEASURING IRREGULARITIES OF SURFACES OR CONTOURS
    • G01B11/00Measuring arrangements characterised by the use of optical techniques
    • G01B11/30Measuring arrangements characterised by the use of optical techniques for measuring roughness or irregularity of surfaces
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/20Exposure; Apparatus therefor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/544Marks applied to semiconductor devices or parts, e.g. registration marks, alignment structures, wafer maps
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/0002Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00

Abstract

一种光刻过程用于形成在横跨衬底的多个部位处分布且具有重叠的周期结构的多个目标结构(92,94),所述重叠的周期结构具有横跨所述目标结构分布的多个不同的重叠偏置值。所述目标结构中的至少一些包括多个重叠的周期结构(例如光栅),所述多个重叠的周期结构比所述多个不同的重叠偏置值少。不对称度测量针对于目标结构获得。所检测的不对称度用于确定光刻过程的参数。可以在校正底光栅不对称度的效应和使用横跨衬底的重叠误差的多参数模型的同时计算重叠模型参数,包括平移、放大和旋转。

Description

用于光刻术的量测
相关申请的交叉引用
本申请要求于2012年7月5日递交的美国临时申请61/668,277的权益,并且通过引用将其全部内容并入到本文中。
技术领域
本发明涉及可用于例如由光刻技术进行的器件制造中的量测方法和设备以及使用光刻技术制造器件的方法。
背景技术
光刻设备是一种将所需图案应用到衬底上,通常是衬底的目标部分上的机器。例如,可以将光刻设备用在集成电路(IC)的制造中。在这种情况下,可以将可选地称为掩模或掩模版的图案形成装置用于生成待形成在所述IC的单层上的电路图案。可以将该图案转移到衬底(例如,硅晶片)上的目标部分(例如,包括一部分管芯、一个或多个管芯)上。通常,图案的转移是通过把图案成像到设置在衬底上的辐射敏感材料(抗蚀剂)层上进行的。通常,单个的衬底将包含被连续形成图案的相邻目标部分的网络。已知的光刻设备包括:所谓的步进机,在所谓的步进机中,每个目标部分通过一次将整个图案曝光到目标部分上来辐照每个目标部分;以及所谓的扫描器,在所谓的扫描器中,通过辐射束沿给定方向(“扫描”方向)扫描所述图案、同时沿与该方向平行或反向平行的方向同步扫描所述衬底来辐照每个目标部分。也可以通过将图案压印到衬底上来将图案从图案形成装置转移到衬底上。
在光刻过程中,经常期望对所生成的结构进行测量,例如用于过程控制和验证。用于进行这种测量的多种工具是已知的,包括经常用于测量临界尺寸(CD)的扫描电子显微镜以及用于测量重叠(在器件中两个层的对准精度)的专用工具。近来,用于光刻领域的各种形式的散射仪已经被研发。这些装置将辐射束引导到目标上并测量被散射的辐射的一种或更多种性质(例如作为波长的函数的、在单个反射角处的强度;作为反射角的函数的、在一个或更多个波长处的强度;或作为反射角的函数的偏振)以获得“光谱”,根据该“光谱”,可以确定目标的感兴趣的性质。感兴趣的性质的确定可以通过各种技术来进行:例如通过迭代方法来重建目标结构,例如严格耦合波分析或有限元方法、库搜索以及主分量分析。
由一些常规的散射仪所使用的目标是相对大的(例如40μm×40μm)光栅,测量束生成比光栅小的光斑(即光栅被欠填充)。这简化了目标的数学重建,因为其可以被看成是无限的。然而,为了减小目标的尺寸,例如减小到10μm×10μm或更小,例如,使得它们可以被定位于产品特征之中而不是划线中,已经提出光栅被制成得比测量光斑更小的量测(即光栅被过填充)。典型地,这种目标使用暗场散射术进行测量,在暗场散射术中,第零衍射级(对应于镜面反射)被挡住,仅仅更高的衍射级被处理。使用衍射级的暗场检测的基于衍射的重叠使得能够在更小的目标上进行重叠测量。这些目标小于照射光斑,并且可以被晶片上的产品结构围绕。能够在一个图像中测量多个目标。
在已知的量测技术中,重叠测量的结果通过在旋转目标或改变照射模式或成像模式以独立地获得-1st衍射级和+1st衍射级的强度的同时、在一定条件下测量目标两次来获得。对于给定的光栅比较这些强度能够提供光栅中的不对称度的测量,并且在重叠光栅中的不对称度能够用作重叠误差的指示器。
虽然已知的基于暗场图像的重叠测量是快速的且计算很简单(一旦经过校准),但是它们依赖于以下假定:重叠仅仅是由目标结构的不对称度造成。在叠层中的任何其他的不对称度,例如,在重叠的光栅的一者或两者中的特征的不对称度,也造成1st级的不对称度。该不对称度与重叠没有清晰的关系,干扰了重叠测量,给出了不精确的重叠结果。在重叠光栅中的底光栅中的不对称度是特征不对称度的公共形式。其例如可以源自在底光栅被最初形成之后执行的晶片处理步骤中,例如化学机械抛光(CMP)。
因此,在此时,本领域技术人员不得不在两个方面之间进行选择,一方面,简单和快速的测量过程,其给出重叠测量,但在存在不对称度的其他成因时将导致误差;另一方面,更传统的技术,计算强度高且通常需要对于大的、被欠填充的光栅的多个测量以避免光瞳图像被来自于重叠光栅的环境的信号所干扰,这将妨碍对其进行重建。
因此,期望将对于由重叠造成的目标结构对称度的贡献与其它影响以更直接和简单的方式区别开,同时最小化目标结构所需要的衬底的面积。
发明内容
期望提供一种用于使用目标结构进行的重叠量测的方法和设备,其中,生产率和精度可以相对于已公开的现有技术得到提高。而且,虽然本发明不限于此,但是如果其可以用于能够以基于暗场图像的技术读出的小目标结构,则将具有显著的优势。
根据本发明的第一方面,提供一种测量光刻过程的参数的方法,所述方法包括:使用所述光刻过程以形成在横跨衬底的多个部位处分布且具有重叠的周期结构的多个目标结构,所述重叠的周期结构具有横跨所述目标结构分布的多个不同的重叠偏置值,所述目标结构中的至少一些包括多个重叠的周期结构,所述多个重叠的周期结构比所述多个不同的重叠偏置值少;照射所述目标结构和检测在由所述目标结构散射的辐射中的不对称度;使用所检测的不对称度来确定所述参数。
根据本发明的第二方面,提供一种用于测量光刻过程的参数的检查设备,所述设备包括:用于衬底的支撑件,所述衬底具有在横跨衬底的多个部位处分布且具有重叠的周期结构的多个目标结构,所述重叠的周期结构具有横跨所述目标结构分布的多个不同的重叠偏置值,所述目标结构中的至少一些包括多个重叠的周期结构,所述多个重叠的周期结构比所述多个不同的重叠偏置值少;光学系统,用于照射目标结构和检测由所述目标结构散射的辐射中的不对称度;和处理器,布置成使用所检测的不对称度来确定所述参数。
根据本发明的第三方面,提供一种计算机程序产品,包括机器可读指令,所述机器可读指令用于使得处理器执行根据第一方面所述的方法的处理。
根据本发明的第四方面,提供一种光刻系统,包括:光刻设备,所述光刻设备包括:照射光学系统,布置成照射图案;投影光学系统,布置成将图案的图像投影到衬底上;以及根据第二方面所述的检查设备。所述光刻设备布置成在将图案应用于另外的衬底时使用来自所述检查设备的测量结果。
根据本发明的第五方面,提供一种制造器件的方法,其中使用光刻过程将器件图案应用于一系列衬底,所述方法包括使用根据的第一方面所述的方法检查作为在所述衬底中的至少一个衬底上的所述器件图案的一部分形成的或者在所述衬底中的至少一个衬底上的所述器件图案旁边形成的至少一个周期结构,并且根据所述方法的结果控制用于后续衬底的光刻过程。
根据本发明的第六方面,提供一种衬底,包括在横跨衬底的多个部位处分布且具有重叠的周期结构的多个目标结构,所述重叠的周期结构具有横跨所述目标结构分布的多个不同的重叠偏置值,所述目标结构中的至少一些包括多个重叠的周期结构,所述多个重叠的周期结构比所述多个不同的重叠偏置值少。
本发明的进一步的特征和优点以及本发明的各种实施例的结构和操作将在下文中参照附图进行详细描述。应当注意,本发明不限于本文所述的具体实施例。这种实施例在本文中仅仅以示例的目的给出。另外的实施例将是相关领域的技术人员根据本文中所包含的教导能够理解的。
附图说明
在此包含在说明书中并形成说明书的一部分的附图示出本发明,并与文字描述一起进一步用于解释本发明的原理且能够使相关领域的技术人员实现和使用本发明。
图1示出根据本发明一实施例的光刻设备。
图2示出根据本发明一实施例的光刻单元或集群(cluster)。
图3A-3D示出(a)用于使用第一对照射孔测量根据本发明的实施例的目标的暗场散射仪的示意图,(b)针对于给定照射方向的目标光栅的衍射光谱的细节,(c)在使用用于基于衍射的重叠测量的散射仪的过程中提供另外的照射模式的第二对照射孔以及(d)将第一对孔和第二对孔组合的第三对照射孔。
图4示出已知形式的多光栅目标和在衬底上的测量光斑的轮廓。
图5示出在图3的散射仪中获得的图4的目标的图像。
图6是示出根据本发明的实施例的重叠测量方法的流程图。
图7示出在不具有特征不对称度的理想的目标结构中的重叠测量的原理。
图8示出在非理想目标结构中的重叠测量的原理,具有使用本发明一实施例的特征不对称度的校正。
图9示出图案形成装置,该图案形成装置具有产品区、划线区和在产品区和划线区两者中的量测目标。
图10示出与本发明的实施例一起使用的图案形成装置的实施例。
图11示出三个复合光栅结构,所述复合光栅结构在衬底上分布,并具有偏置方案,所述偏置方案可以用于本发明的实施例中,将针对于重叠测量的两个正交方向的分量或组成光栅进行组合。
图12示出五个复合光栅结构,所述复合光栅结构在衬底上分布,并具有偏置方案,所述偏置方案可以用于本发明的实施例中。
本发明的特征和优势将根据下面阐述的具体实施方式并结合附图而更容易理解,在附图中,自始至终,同样的参考字母表示对应的元件。在附图中,同样的附图标记大体上表示相同的、功能相似和/或结构相似的元件。元件第一次出现所在的附图由相应的附图标记的最左面的数字表示。
具体实施方式
本说明书公开了包含本发明的特征的一个或更多个实施例。所公开的实施例仅仅示例性地说明本发明。本发明的范围不限于所公开的实施例。本发明由所附的权利要求来限定。
所述实施例以及在本说明书中提及的“一个实施例”、“一实施例”、“示例实施例”等表示所述实施例可以包括特定的特征、结构或特性,但是每个实施例可以不必包括该特定的特征、结构或特性。另外,这些措辞不必涉及同一实施例。而且,当特定的特征、结构或特性结合实施例进行描述时,应当理解,不论是否明确地描述,其都在本领域技术人员的知识范围内,用以结合其他实施例来实现这种特征、结构或特性。
本发明的实施例可以被实现为硬件、固件、软件或其任意组合。本发明的实施例也可以被实现为存储在机器可读介质上的指令,其可以由一个或更多个处理器来读取和执行。机器可读介质可以包括用于存储或传送呈机器(例如计算装置)可读形式的信息的任何机制。例如,机器可读介质可以包括只读存储器(ROM);随机存取存储器(RAM);磁盘存储介质;光存储介质;闪存装置;电、光、声或其他形式的传播信号(例如载波、红外信号、数字信号等)及其他。而且,固件、软件、例程、指令可以在此被描述为执行特定的动作。然而,应当理解,这种描述仅仅是为了方便起见,这种动作实际上由计算装置、处理器、控制器或用于执行固件、软件、例程、指令等的其他装置所导致。
在更详细地描述本发明的实施例之前,阐释本发明的实施例可以实施的示例环境是有意义的。
图1示意地示出了光刻设备LA。所述设备包括:照射系统(照射器)IL,其配置用于调节辐射束B(例如,UV辐射或DUV辐射);图案形成装置支撑件或支撑结构(例如掩模台)MT,其构造用于支撑图案形成装置(例如掩模)MA,并与配置用于根据特定的参数精确地定位图案形成装置的第一定位装置PM相连;衬底台(例如晶片台)WT,其构造用于保持衬底(例如,涂覆有抗蚀剂的晶片)W,并与配置用于根据特定的参数精确地定位衬底的第二定位装置PW相连;和投影系统(例如折射式投影透镜系统)PS,其配置成用于将由图案形成装置MA赋予辐射束B的图案投影到衬底W的目标部分C(例如包括一根或更多根管芯)上。
照射系统可以包括各种类型的光学部件,例如折射型、反射型、磁性型、电磁型、静电型或其它类型的光学部件、或其任意组合,以引导、成形、或控制辐射。
所述图案形成装置支撑件以依赖于图案形成装置的方向、光刻设备的设计以及诸如例如图案形成装置是否保持在真空环境中等其他条件的方式保持图案形成装置。所述图案形成装置支撑件可以采用机械的、真空的、静电的或其它夹持技术来保持图案形成装置。所述图案形成装置支撑件可以是框架或台,例如,其可以根据需要成为固定的或可移动的。所述图案形成装置支撑件可以确保图案形成装置位于所需的位置上(例如相对于投影系统)。这里使用的任何术语“掩模版”或“掩模”可以看作与更为上位的术语“图案形成装置”同义。
这里所使用的术语“图案形成装置”应该被广义地理解为表示能够用于将图案在辐射束的横截面上赋予辐射束、以便在衬底的目标部分上形成图案的任何装置。应该注意的是,赋予辐射束的图案可能不与衬底的目标部分上的所需图案精确地对应(例如,如果所述图案包括相移特征或所谓的辅助特征)。通常,被赋予辐射束的图案将与在目标部分上形成的器件中的特定的功能层相对应,例如集成电路。
图案形成装置可以是透射型的或反射型的。图案形成装置的示例包括掩模、可编程反射镜阵列以及可编程LCD面板。掩模在光刻技术中是熟知的,并且包括诸如二元掩模类型、交替型相移掩模类型、衰减型相移掩模类型和各种混合掩模类型之类的掩模类型。可编程反射镜阵列的示例采用小反射镜的矩阵布置,每一个小反射镜可以独立地倾斜,以便沿不同方向反射入射的辐射束。所述已倾斜的反射镜将图案赋予由所述反射镜矩阵反射的辐射束。
这里使用的术语“投影系统”可以广义地解释为包括任意类型的投影系统,包括折射型、反射型、反射折射型、磁性型、电磁型和静电型光学系统、或其任意组合,如对于所使用的曝光辐射所适合的、或对于诸如使用浸没液或使用真空之类的其他因素所适合的。这里使用的任何术语“投影透镜”可以认为是与更上位的术语“投影系统”同义。
如这里所示的,所述设备是透射型的(例如,采用透射式掩模)。替代地,所述设备可以是反射型的(例如,采用如上所述类型的可编程反射镜阵列,或采用反射式掩模)。
光刻设备可以是具有两个(双台)或更多衬底台(和/或两个或更多的掩模台)的类型。在这种“多平台”机器中,可以并行地使用附加的台,或可以在一个或更多个台上执行预备步骤的同时,将一个或更多个其它台用于曝光。
所述光刻设备还可以是这种类型:其中衬底的至少一部分可以由具有相对高的折射率的液体(例如水)覆盖,以便填充投影系统和衬底之间的空间。浸没液体还可以施加到光刻设备中的其他空间,例如掩模和投影系统之间的空间。浸没技术用于提高投影系统的数值孔径在本领域是熟知的。这里使用的术语“浸没”并不意味着必须将结构(例如衬底)浸入到液体中,而仅意味着在曝光过程中液体位于投影系统和该衬底之间。
参照图1,照射器IL接收来自辐射源SO的辐射束。所述源和光刻设备可以是分立的实体(例如当该源为准分子激光器时)。在这种情况下,不会将该源考虑成形成光刻设备的一部分,并且通过包括例如合适的定向反射镜和/或扩束器的束传递系统BD的帮助,将所述辐射束从所述源SO传到所述照射器IL。在其它情况下,所述源可以是所述光刻设备的组成部分(例如当所述源是汞灯时)。可以将所述源SO和所述照射器IL、以及如果需要时设置的所述束传递系统BD一起称作辐射系统。
所述照射器IL可以包括用于调整所述辐射束的角强度分布的调整器AD。通常,可以对所述照射器的光瞳平面中的强度分布的至少所述外部和/或内部径向范围(一般分别称为σ-外部和σ-内部)进行调整。此外,所述照射器IL可以包括各种其它部件,例如整合器IN和聚光器CO。可以将所述照射器用于调节所述辐射束,以在其横截面中具有所需的均匀性和强度分布。
所述辐射束B入射到保持在图案形成装置支撑件(例如,掩模台MT)上的所述图案形成装置(例如,掩模)MA上,并且通过所述图案形成装置来形成图案。已经穿过图案形成装置(例如,掩模)MA之后,所述辐射束B通过投影系统PS,所述投影系统将辐射束聚焦到所述衬底W的目标部分C上。通过第二定位装置PW和位置传感器IF(例如,干涉仪器件、线性编码器、二维编码器或电容传感器)的帮助,可以精确地移动所述衬底台WT,例如以便将不同的目标部分C定位于所述辐射束B的路径中。类似地,例如在从掩模库的机械获取之后或在扫描期间,可以将所述第一定位装置PM和另一个位置传感器(在图1中没有明确地示出)用于相对于所述辐射束B的路径精确地定位图案形成装置(例如掩模)MA。通常,可以通过形成所述第一定位装置PM的一部分的长行程模块(粗定位)和短行程模块(精定位)的帮助来实现图案形成装置支撑件(例如掩模台)MT的移动。类似地,可以采用形成所述第二定位装置PW的一部分的长行程模块和短行程模块来实现所述衬底台WT的移动。在步进机的情况下(与扫描器相反),图案形成装置支撑件(例如掩模台)MT可以仅与短行程致动器相连,或可以是固定的。
可以使用掩模对准标记M1、M2和衬底对准标记P1、P2来对准图案形成装置(例如掩模)MA和衬底W。尽管所示的衬底对准标记占据了专用目标部分,但是它们可以位于目标部分(这些公知为划线对齐标记)之间的空间中。类似地,在将多于一个的管芯设置在图案形成装置(例如掩模)MA上的情况下,所述掩模对准标记可以位于所述管芯之间。小的对准标记也可以被包括在管芯内、在器件特征之间,在这种情况下,期望所述标记尽可能小且不需要任何与相邻的特征不同的成像或处理条件。检测对准标记的对准系统将在下文中进一步描述。
可以将所示的设备用于以下模式中的至少一种中:
1.在步进模式中,在将图案形成装置支撑件(例如掩模台)MT和衬底台WT保持为基本静止的同时,将赋予所述辐射束的整个图案一次投影到目标部分C上(即,单一的静态曝光)。然后将所述衬底台WT沿X和/或Y方向移动,使得可以对不同目标部分C曝光。在步进模式中,曝光场的最大尺寸限制了在单一的静态曝光中成像的所述目标部分C的尺寸。
2.在扫描模式中,在对图案形成装置支撑件(例如掩模台)MT和衬底台WT同步地进行扫描的同时,将赋予所述辐射束的图案投影到目标部分C上(即,单一的动态曝光)。衬底台WT相对于图案形成装置支撑件(例如掩模台)MT的速度和方向可以通过所述投影系统PS的(缩小)放大率和图像反转特性来确定。在扫描模式中,曝光场的最大尺寸限制了单一的动态曝光中的所述目标部分的宽度(沿非扫描方向),而所述扫描移动的长度确定了所述目标部分的高度(沿扫描方向)。
3.在另一模式中,将用于保持可编程图案形成装置的图案形成装置支撑件(例如掩模台)MT保持为基本静止,并且在将赋予所述辐射束的图案投影到目标部分C上的同时,对所述衬底台WT进行移动或扫描。在这种模式中,通常采用脉冲辐射源,并且在所述衬底台WT的每一次移动之后、或在扫描期间的连续辐射脉冲之间,根据需要更新所述可编程图案形成装置。这种操作模式可易于应用于利用可编程图案形成装置(例如,如上所述类型的可编程反射镜阵列)的无掩模光刻术中。
也可以采用上述使用模式的组合和/或变体,或完全不同的使用模式。
光刻设备LA是所谓的双平台类型,其具有两个衬底台WTa、WTb和两个站——曝光站和测量站,在曝光站和测量站之间衬底台可以被进行交换。当一个衬底台上的一个衬底在曝光站被进行曝光时,另一衬底可以被加载到测量站处的另一衬底台上且执行各种预备步骤。所述预备步骤可以包括使用水平传感器LS对衬底的表面控制进行规划和使用对准传感器AS测量衬底上的对准标记的位置。这能够实质地增加设备的生产率。如果当衬底台处于测量站以及处于曝光站时,位置传感器IF不能测量衬底台的位置,则可以设置第二位置传感器来使得衬底台的位置能够在两个站处被追踪。
如图2所示,光刻设备LA形成光刻单元LC(有时也称为光刻元或者光刻集群)的一部分,光刻单元LC还包括用以在衬底上执行曝光前和曝光后处理的设备。通常,这些包括用以沉积抗蚀剂层的旋涂器SC、用以对曝光后的抗蚀剂显影的显影器DE、激冷板CH和烘烤板BK。衬底操纵装置或机械人RO从输入/输出口I/O1、I/O2拾取衬底,然后将它们在不同的处理设备之间移动,然后将它们传递到光刻设备的进料台LB。经常统称为轨道的这些装置处在轨道控制单元TCU的控制之下,所述轨道控制单元TCU自身由管理控制系统SCS控制,所述管理控制系统SCS也经由光刻控制单元LACU控制光刻设备。因此,不同的设备可以被操作用于将生产率和处理效率最大化。
暗场量测的示例可以在国际专利申请WO2009/078708和WO2009/106279中找到,这两篇专利文献以引用方式整体并入本文。该技术的进一步的发展已经在公开的专利公开出版物US20110027704A和US20110043791A中进行了描述,并且在美国专利申请US20120123581中进行了描述。所有这些申请的内容也以引用的方式并入本文。
适用于本发明的实施例中的暗场量测设备如图3(a)所示。目标光栅T和衍射的光线在图3(b)中被更详细地示出。暗场量测设备可以是单独的装置或被包含在光刻设备LA(例如在测量站处)或光刻单元LC中。光轴由虚线O表示,其有多个贯穿设备的支路。在该设备中,由源11(例如氙灯)发出的光借助于包括透镜12、14和物镜16的光学系统经由分束器15被引导到衬底W上。这些透镜被布置成4F布置的双序列。可以使用不同的透镜布置,只要这样的透镜布置仍然能够将衬底图像提供到检测器上,并且同时对于空间-频率滤波允许访问中间的光瞳平面。因此,辐射入射到衬底上的角度范围可以通过在一平面中定义表示衬底平面(在此称为(共轭)光瞳平面)的空间谱的空间强度分布来选择。尤其,这可以通过将合适形式的孔板13在作为物镜光瞳平面的后投影像的平面中插入到透镜12和14之间来完成。在所示的示例中,孔板13具有不同的形式,以13N和13S标记,允许选择不同的照射模式。在本示例中的照射系统形成离轴照射模式。在第一照射模式中,孔板13N提供从标记为“N(北)”的方向(仅仅为了说明起见)的离轴。在第二照射模式中,孔板13S用于提供类似的照射,但是从标记为“S(南)”的相反方向。也可以通过使用不同的孔来实现其它的照射模式。光瞳平面的其余部分期望是暗的,因为所期望的照射模式之外的任何非必要的光将干扰所期望的测量信号。
如图3(b)所示,目标光栅T和衬底W被放置成与物镜16的光轴O正交。从偏离光轴O的一角度射到光栅T上的照射光线I产生第零级光线(实线0)和两个第一级光线(单点划线+1和双点划线-1)。应当知晓,在过填充的小目标光栅的情况下,这些光线仅仅是覆盖包括量测目标光栅T和其它特征的衬底的相应区域的许多平行光线之一。由于板13中的孔具有有限的宽度(允许有用的光量通过所必须的),所以入射光线I实际上将占据一角度范围,被衍射的光线0和+1/-1将被稍稍扩散。根据小目标的点扩散函数,每个衍射级+1和-1将被进一步在一角范围上扩散,而不是如所示出的理想的单条光线。注意,光栅节距和照射角可以被设计或调整成使得进入物镜的第一级光线与中心光轴接近地或紧密地对准。在图3(a)和3(b)中示出的光线被示出为有些离轴,纯粹是为了能够使它们更容易在图中被区分出来。
至少由衬底W上的目标所衍射的0和+1级被物镜16所收集并通过分束器15被引导返回。回到图3(a),第一和第二照射模式都通过指定标记为北(N)和南(S)的在直径上相对的孔来示出。当入射光线I来自光轴的北侧时,即当使用孔板13N来应用第一照射模式时,被标记为+1(N)的+1衍射光线进入物镜16。相反,当使用孔板13S来应用第二照射模式时,(被标记为-1(S)的)-1衍射光线是进入物镜16的衍射光线。
第二分束器17将衍射束分成两个测量支路。在第一测量支路中,光学系统18使用第零级和第一级衍射束在第一传感器19(例如CCD或CMOS传感器)上形成目标的衍射光谱(光瞳平面图像)。每个衍射级击中传感器上的不同的点,以使得图像处理可以对衍射级进行比较和对比。由传感器19所捕捉的光瞳平面图像可以被用于会聚量测设备和/或将第一级束的强度测量归一化。光瞳平面图像也可以用于许多的测量目的,例如重建,这不是本公开的主题。
在第二测量支路中,光学系统20、22在传感器23(例如CCD或CMOS传感器)上形成衬底W上的目标的图像。在第二测量支路中,孔径光阑21设置在与光瞳平面共轭的平面中。孔径光阑21的功能是阻挡第零级衍射束以使得形成在传感器23上的目标的图像仅仅由-1或+1第一级束形成。由传感器19和23捕捉的图像被输出到图像处理器和控制器PU,所述图像处理器和控制器PU的功能将依赖于所进行的测量的特定类型。注意到,术语“图像”在此用于广泛的含义。如果仅存在-1和+1衍射级中的一个,则光栅线的图像同样将不被形成。
如图3所示的孔板13和场光阑21的特定形式纯粹是示例性的。在本发明的另一实施例中,使用目标的同轴照射,且具有离轴孔的孔径光阑用于基本上仅使一个第一级衍射光通到或穿过至传感器。在其它的实施例中,替代第一级束或除第一级束之外,可以将第二级、第三级和更高级次的束(未在图3中示出)用于测量。
为了使照射能够适应于这些不同类型的测量,孔板13可以包括在盘周围形成的多个孔图案,所述盘旋转以将期望的图案带入到合适的位置。替代地或附加地,一组板13可以被设置和交换以实现相同的效果。也可以使用可编程照射装置,例如可变形反射镜阵列或透射式空间光调制器。移动的反射镜或棱镜可以被用作调整照射模式的另一种方式。
如刚刚关于孔板13所进行的解释,用于成像的衍射级的选择可以替代地通过变更光瞳光阑(pupil-stop)21或通过更换具有不同的图案的光瞳光阑或通过将固定的场光阑替换为可编程空间光调制器来实现。在这种情况下,测量光学系统的照射侧可以保持恒定,同时,其处于具有第一和第二模式的成像侧。因此,在本发明公开内容中,有效地存在三种类型的测量方法,每一种方法都有其自身的优势和劣势。在一种方法中,照射模式被改变以测量不同的衍射级。在另一种方法中,成像模式被改变。在第三种方法中,照射模式和成像模式保持不变,但是目标被转过180度。在每种情况下,所期望的效果是相同的,即选择非零级衍射辐射的在目标的衍射光谱中彼此对称地对置的第一部分和第二部分。在原理上,衍射级的期望的选择可以通过同时地改变照射模式和成像模式的组合来获得,但是这可能带来缺点而没有优点,因此,其将不被进一步讨论。
尽管用于本示例中的成像的光学系统具有由场光阑21限制的宽的入射光瞳,但是在其他实施例或应用中,成像系统自身的入射光瞳尺寸可以足够小以限制至所期望的衍射级,因此也用作场光阑。不同的孔板如图3(c)和(d)所示,它们可以被使用,如下文所进一步描述的。
典型地,目标光栅将与其或沿南北或沿东西延伸的光栅线对准。也就是说,光栅将在衬底W的X方向上或Y方向上对准。注意到,孔板13N或13S可以仅仅用于测量在一个方向上定向(X或Y,依赖于设置)的光栅。对于正交光栅的测量,可以实现目标转过90度和270度。然而,更方便地,使用孔板13E或13W将来自东或西的照射设置在照射光学装置中,如图3(c)所示。孔板13N至13W可以被独立地形成和互换,或它们可以是能够旋转90、180或270度的单个孔板。如已经描述的,如图3(c)所示的离轴孔可以被设置在场光阑21中,而不是被设置在照射孔板13中。在该情况下,照射将沿轴线进行。
图3(d)示出可以用于组合第一对和第二对孔板的照射模式的第三对孔板。孔板13NW具有位于北和东的孔,而孔板13SE具有位于南和西的孔。假定在这些不同的衍射信号之间的串扰不太大,则X光栅和Y光栅两者的测量可以在不改变照射模式的情况下进行。
图4示出根据已知的实践在衬底上形成的复合目标。该复合目标包括紧密地定位在一起的四个光栅32至35,以使得它们都将在由量测设备的照射束形成的测量光斑31内。于是,四个目标都被同时地照射并被同时地成像在传感器19和23上。在专用于重叠测量的一示例中,光栅32至35自身是由重叠光栅形成的复合光栅,所述重叠光栅在形成在衬底W上的半导体器件的不同层中被图案化。光栅32至35可以具有被不同地偏置的重叠偏移,以便便于在复合光栅的不同部分形成所在的层之间的重叠测量。光栅32至35也可以在它们的方向上不同或具有不同的方向,如图所示,以便在X方向和Y方向上衍射入射的辐射。在一个示例中,光栅32和34分别是具有+d、-d偏置的X方向光栅。这意味着,光栅32具有其重叠分量或成分,所述重叠分量或成分布置成使得如果它们都恰好被印刷在它们的名义位置上,则所述重叠分量或成分之一将相对于另一重叠分量或成分偏置距离d。光栅34具有其分量或成分,所述分量或成分布置成使得如果被完好地印刷则将存在d的偏置,但是该偏置的方向与第一光栅的相反,等等。光栅33和35分别是具有偏置+d和-d的Y方向光栅。尽管四个光栅被示出,但是另一实施例可能需要更大的矩阵来获得所期望的精度。例如,9个复合光栅的3×3阵列可以具有偏置-4d、-3d、-2d、-d、0、+d、+2d、+3d、+4d。这些光栅的独立的图像可以在由传感器23捕捉的图像中被识别。
图5示出可以使用图3的设备中的图4的目标、使用如图3(d)的孔板13NW或13SE在传感器23上形成并由传感器23检测的图像的示例。尽管光瞳平面图像传感器19不能分辨不同的各个光栅32至35,但是图像传感器23可以分辨不同的各个光栅32至35。暗矩形表示传感器上的像场,其中衬底上的照射光斑31被成像到相应的圆形区域41中。在该区域中,矩形区域42-45表示各个小目标光栅32至35的图像。如果光栅位于产品区域中,则产品特征也可以在该像场的周边处是可见的。图像处理器和控制器PU使用模式识别来处理这些图像,以识别光栅32至35的独立的图像42至45。以这种方式,图像不必非常精确地在传感器框架内的特定位置处对准,这在整体上极大地提高了测量设备的生产率。然而,如果成像过程受到像场上的不均匀性的影响,则保持对精确对准的要求。在本发明的一个实施例中,四个位置P1至P4被识别且光栅被与这些已知的位置尽可能地对准。
一旦光栅的独立的图像已经被识别,那些各个图像的强度可以被测量,例如通过对所识别的区域中的所选的像素强度值进行平均或求和来实现。图像的强度和/或其它性质可以相互对比。这些结果可以被组合以测量光刻过程的不同的参数。重叠性能是这种参数的重要的示例。
图6示出如何例如使用在申请WO201I/012624(该文件以引用的方式整体并入本文)中描述的方法、通过光栅的不对称度来测量包含分量光栅或组成光栅(component grating)32至35的两个层之间的重叠误差,所述不对称度通过比较它们在+1级和-1级暗场图像中的强度来获得。在步骤S1中,衬底,例如半导体晶片,通过图2的光刻单元一次地或更多次地处理,以形成包括重叠目标32至35的结构。在步骤S2中,使用图3的量测设备,光栅32至35的图像仅利用第一级衍射束中的一个(例如-1级衍射束)来获得。然后,通过改变照射模式、或改变成像模式、或通过将衬底W在量测设备的视场中旋转180度,可以利用另一个第一级衍射束(+1)来获得光栅的第二图像(步骤S3)。因此,+1级衍射辐射在第二图像中被捕捉。
注意到,通过在每个图像中包括仅仅一半的第一级衍射辐射,在此所述的“图像”不是常规的暗场显微镜图像。各个光栅线将不被分辨。每个光栅仅仅由具有一定强度水平的区域来表示。在步骤S4中,感兴趣的区域(ROI)在每个分量光栅或组成光栅(component grating)的图像内被细致地识别,强度水平将据此来测量。这样做是因为,尤其是在各个光栅图像的边缘附近,通常,强度值可能高度地依赖于过程变量,例如抗蚀剂厚度、成分、线形状以及边缘效应。
已经针对于每个独立的光栅对ROI进行了识别和测量其强度,这样就可以确定光栅结构的不对称度,并因此确定重叠误差。这被通过如下方式完成:由图像处理器和控制器PU在步骤S5中针对于每个光栅32-35的+1和-1衍射级所获得的强度值进行比较,以识别它们的强度中的任何差异,以及(S6),根据光栅的重叠偏置的知识来确定目标T附近的重叠误差。
在上述的现有应用中,公开了用于使用上述基本方法来提高重叠测量的品质的各种技术。例如,在图像之间的强度差可以归于用于不同的测量的光路中的差异,而不纯粹是目标的不对称度。照射源11可以使得照射光斑31的强度和/或相位不均匀。可以确定校正并将该校正应用于参照例如传感器23的像场中的目标图像的位置来最小化这种误差。这些技术在现有应用中被解释,在此将不对细节进行进一步地解释。它们可以与在本申请中新公开的技术结合使用,下面将对本申请的技术进行描述。
在本申请中,我们提出了采用图6所示的方法、利用具有在横过衬底的部位上分布的三个或更多个偏置的光栅来测量重叠。通过测量具有至少三个不同的偏置的光栅的不对称度,在步骤S6中的计算可以被修改以便校正目标光栅中的特征不对称度,例如由实际的光刻过程中的底光栅不对称度(BGA)造成的不对称度。使用横过衬底的重叠误差的多参数模型能够将偏置重叠的光栅分布在横过衬底的部位处,节约空间成本(real-estate),因为不需要将复合的目标与所有偏置重叠的光栅定位在一起。
在图7中,曲线702示出在重叠误差OV和针对于具有零偏移和在形成重叠光栅的各个光栅内没有特征不对称度的“理想”目标所测量的不对称度A之间的关系。这些图表仅仅示出本发明的原理,且在每一图表中,所测量的不对称度A和重叠误差OV的单位是任意的。实际尺寸的示例将在下文进一步给出。
在图7的“理想”情形中,曲线702表示所测量的不对称度A与重叠具有正弦关系。正弦变化的周期P对应于光栅周期。该正弦形式在该示例中是纯正弦,但是在其他情形中可以包括谐波。为简便起见,假定在该示例中,(a)来自目标的仅仅第一级衍射辐射到达成像传感器23(或在给定实施例中的其等价物)以及(b)实验性目标设计使得在这些第一级内,获得在强度和顶光栅与底光栅之间的重叠之间的纯正弦关系。其在实际中是否成立有赖于光学系统设计、照射辐射的波长和光栅的节距P以及目标的设计和堆叠。在第二、第三或更高衍射级也对于由传感器23所测量的强度有贡献的实施例或该目标设计在第一衍射级中产生谐波的实施例中,本领域技术人员可以容易地遵从本申请的教导以允许更高衍射级存在。
如上所述,偏置的光栅可以被用于测量重叠,而不是依赖于单一的测量。该偏置具有限定在制作其所依据的图案形成装置(例如掩模版)中的已知值,其用作与所测量的信号对应的重叠的晶片上校准。在附图中,该计算以图表方式示出。在步骤S1-S5中,不对称度测量A(+d)和A(-d)分别针对于具有偏置+d和-d的分量光栅或组成光栅而获得。将这些测量拟合成正弦曲线,给出了点704和706,如图所示。已知该偏置,则可以计算真实的重叠误差OV。正弦曲线的节距P根据目标的设计是已知的。曲线702的竖直标尺开始不是已知的,而是我们可以称之为第一谐波比例常数K1的未知因数。使用对于具有不同的已知偏置的光栅的两个测量可以求解两个方程以计算未知的K1和重叠OV。
图8示出引入特征不对称度的效应,例如处理步骤对于底光栅层的效应导致的特征不对称度。“理想的”正弦曲线702不再应用。然而,本发明人已经意识到,至少近似地,底光栅不对称度或其它特征不对称度具有将偏移添加至不对称度值A的效应,这在所有的重叠值上是相对恒定的。所形成的曲线在图中示出为712,所标示的ABGA标记标示由于特征不对称度造成的偏移。通过为多重光栅提供具有三个或更多个不同的偏置值的偏置方案,精确的重叠测量仍然可以通过将所述测量拟合成偏移的正弦曲线712和去除该恒量来获得。
对于用于示出经过修改的测量和计算的原理的简单示例,图8示出被拟合成曲线712的三个测量点714、716和718。所述点714和716由具有偏置+d和-d的光栅来测量,对于图7中的点704和706也是相同的。来自具有零偏置的光栅的第三不对称度测量(在该示例中)被描绘为718。将该曲线拟合于三个点能够允许恒定的不对称度值ABGA,其由于特征不对称度与由于重叠误差所导致的正弦贡献Aov分离所造成,以使得重叠误差可以被更精确地计算。
如已经阐述的,经过修改的步骤S6的重叠计算依赖于一定的假定。首先,假定由于特征不对称度造成的第一级强度不对称度(例如BGA)独立于针对感兴趣的重叠范围的重叠,并因此,其可以由恒定偏移KQ来描述。该假设的有效性已经在基于模型的模拟中被测试。另一假定是强度不对称度表现为重叠的正弦函数,其周期P对应于光栅节距。通过使用小节距-波长比,谐波数可以被设计成对于基于衍射的重叠是小的,该节距-波长比仅仅允许来自光栅的少量的传播衍射级。因此,在一些实施例中,对于强度-不对称度的重叠贡献可以被假定成仅仅与一次谐波成正弦关系,且如果需要与二次谐波成正弦关系。同时,在目标设计中,线宽和间隔可以用于优化,针对于主要地第一谐波的存在而调整或针对于在先的两个或三个谐波而调整。
图9示意性地示出图案形成装置M的整个布局。量测目标92可以被包括在所应用的图案的位于功能器件图案区域90之间的划线部分中。如所知的,图案形成装置M可以包含单个器件图案,或包含器件图案阵列,条件是光刻设备的场足够大以容纳所述器件图案阵列。在图9中的示例示出标示为D1-D4的四个器件区域。划线目标92被设置成与这些器件图案区域相邻且在它们之间。在已经完成的衬底上,例如半导体器件,衬底W将通过沿着这些划线切割而被分割成各个器件,以使得目标的存在不减小功能器件图案所能够获得的面积。在目标与常规的量测目标相比较小的情况下,它们也可以被部署在器件区域内以允许更近地监视衬底上的光刻和过程性能。该类型的一些目标94在器件区域D1中示出。尽管图9示出了图案形成装置M,但是相同图案在光刻过程后被复现在衬底W上,并因此该描述用于衬底W以及图案形成装置。
图10更详细地示出在图案形成装置M上的产品区域90之一,更详细地示出目标92和94。同一图案被制成并在衬底上的每个场处被重复。产品区域被标记为D,划线区域被标记为SL。在器件区域90中,目标94以期望的密度散布在产品特征之间的不同部位处。在划线区域SL中,设置目标92。目标92和94具有例如图4所示的形式,并可以使用图3的散射仪的暗场成像传感器23来测量。
图11示出三个复合光栅结构,所述复合光栅结构在衬底上分布,并具有偏置方案,所述偏置方案可以用于本发明的实施例中,将针对于重叠测量的两个正交方向的分量或组成光栅进行组合。图11示出三个示例性目标111、112和113,其可以用于以BGA校正实现重叠模型参数测量。为了求解重叠,需要至少三个偏置,因为有至少三个未知量:KQ、K1和重叠。
本发明的实施例可以具有分布在待测区域上的单个偏置光栅:场,管芯或更小。其它的实施例,例如如图11所示,是与2x2目标设计可兼容的。进行如下标记:偏置=+d,偏置=-d或偏置=0,例如,10x10μm2的目标可以使用以下偏置方案、通过光栅来制作,其中,在该示例中,具有三个布局:
目标111:+d,X;+d,Y,-d,Y;,-d,X
目标112:+d,X;+d,Y,0,Y;,0,X
目标113:0,X;0,Y,-d,Y;,-d,X
所有这三个目标也可以用于使用基于光瞳检测衍射的重叠(只要散射仪光斑尺寸足够小)或基于暗场衍射的重叠方法,使用对称的或不对称的第一谐波方法来计算重叠的局部值。同时,局部的结果可以与模型参数化的模型的结果对比,例如所描述的六参数模型,被重新计算至局部值,但包括所有的BGA及更高谐波校正。应当理解,本发明的实施例不限于仅仅两个高阶谐波。
这些目标的公共性质是它们都可以针对于重叠、以由上述前面的专利申请所知的基于暗场图像的技术被读出。这能够在小的目标上实现BGA校正的重叠而没有叠层重建。
图11示出具有三个不同的偏置的复合光栅目标,其中X方向和Y方向光栅被横过目标区域设置。针对于每个方向的偏置方案被示出,但是当然其他方案可以被构想,只要至少两个,优选至少三个不同的偏置被包括在各个目标结构中在衬底上分布。具有每个偏置值的X和Y光栅并排放置,尽管这不是必须的。X和Y光栅以交替的图案彼此散布,以使得不同的X光栅对角地间隔,而不是相互并排地布置,Y光栅对角地间隔,而不是相互并排地布置。该布置可以帮助减小不同的偏置光栅的衍射信号之间的串扰。整个布置因此允许紧凑的目标设计,而没有良好的性能。尽管图11中的分量光栅或组成光栅是方的,但是具有X和Y分量光栅或组成光栅的复合光栅目标也可以制成为细长的光栅。示例例如在公开的专利申请US20120044470中描述,该专利文件以引用方式整体并入本文。
参考图12,也可以使用每个目标(每个方向)一个偏置光栅。例如,为了考虑K0和K1,存在针对X方向的五个未知参数(Tx,Mx,Rx,K0x,K1x)和针对Y方向的五个未知参数(Ty,My,Ry,K0y,K1y)。然后可以求解出每个方向的至少五个方程,因此需要每个方向五个不对称度测量。在该示例中,这意味着,在具有可忽略的噪声的理想情况下,在每个方向上五个目标足矣(在图12的示例中,存在五个目标且每个目标具有每个方向上一个偏置光栅)。在实际中,具有冗余是有用的,例如将噪声和可能的模型误差平均掉。
为了考虑三个参数K0、K1和重叠,需要三个不同的偏置(例如,+d,0,-d)。在该示例情形中,目标数(5)高于偏置数(3)。参照图12,5个目标被示出且存在三个不同的偏置(+d,0,-d),尽管并不是所有的目标都不同。然而,如上所述,在具有可忽略的噪声的理想情况下,在图12中示出的配置足以确定在该示例中的所有未知参数。具有比在图12中示出的更多的冗余,噪声可以被平均掉,就针对于X和Y的T、R和M而言,可以实现更好的结果。如果实验性的事实比该6参数模型更复杂,更多的冗余也是有用的。
重叠误差可以通过在两个偏置光栅中的不对称度的直接对比来确定。该重叠可以被模型化以具有以下与不对称度的单谐波关系:
A = K 1 sin ( 2 πOV P ) - - - ( 1 )
其中A是所检测的第+1衍射级强度和第-1衍射级强度之间的不对称度,OV是重叠,P是目标光栅的节距,K1是第一谐波比例常数。两个光栅用于x方向,两个光栅用于y方向。典型的基于暗场衍射的重叠目标具有10x10μm2的面积。
等式1的单谐波方法所涉及的问题是,没有由于非线性导致的底光栅不对称度或比第一谐波更高阶的谐波可以被考虑。每一重叠误差测量使用仅仅两个光栅仅仅允许确定两个未知量K1和OV。任何更高阶项或不对称度项将需要更多的光栅,并因此需要更多空间。
在实际中,上述关系是节距周期函数的无限求和的截断函数,对于不对称度性质是:正弦级数,由于在来自光栅结构的信号的重叠中的节距周期性造成,且完整的表达式(包括用于描述可以被考虑成第一余弦项的不对称度贡献的常数项)是:
A = K 0 + Σ m K m sin ( 2 πmOV P ) - - - ( 2 )
更高阶K项,K2,3/4等对于目标是尤其重要的,其中重叠目标具有在上下重叠光栅之间的相对小的距离,因此具有强耦合。K0项对于所有引入不对称度的处理步骤都是重要的。
能够将光栅加到衬底上的一个未知部位中的目标上,以便测量等式(2)中的更多的谐波。然而,这具有增加每个目标的占据空间的缺陷。在一些情况下将常规的四光栅目标加到光栅上以为BGA校正给出总共六个光栅是可接受的。然而,对于众多产品上的应用,不仅K0而且K2及可能3/4或更高是重要的。这将意味着进一步增加对于量测目标的占据空间,这是不期望的。
本发明的实施例求解重叠模型参数(即,不直接地确定每个目标部位的重叠,而是使用六参数模型),与底光栅不对称度项K0和用于非线性校正的K的更高阶项组合。这借助于组合在管芯上或在用于重叠的测量或模型化的区域上的目标的分布来实现。
优势在于每个目标的占据空间没有增加。进而,该方法仅仅针对于半导体制造商所感兴趣的模型参数(例如平移、放大率和旋转)直接求解。这是因为这些参数可以在光刻设备中被控制。此后,如果需要,或处于验证目的,重叠可以通过由模型参数重新计算而被局部获取。
本发明的实施例可以通过仅仅测量目标和最后测量管芯上的偏置光栅的分布来实现。这通过对于重叠和所需的谐波求解该强度差测量来遵循。所述光栅具有在整个衬底上的偏置分布。这可以是两个、三个或更多个偏置。所使用的偏置的数量依赖于多少谐波被考虑。在单个目标的情况下:如果仅仅K1和重叠是未知量,则两个偏置是足够的;如果K0、Ki和重叠是未知量,则三个偏置是足够的;如果K0、Ki、K2和重叠是未知量,则四个偏置是足够的,等等。在场/管芯上的分布的情况下,如在该实施例中的情况,其在一个块中被求解(参见下面等式)。注意到,在管芯上的这种分布以及x方向和y方向量测的解耦在实验上在基于图像的重叠(IBO)量测中对于条中条(Bar-in-Bar(BiB))目标是非常困难的。
在本发明的一实施例中的方程组如下所列,其针对于K0、K1、K2并使用六参数内场模型:
在此,n是X光栅数量和Y光栅数量(但X光栅数量和Y光栅数量不需要一定是相同的)。这与n引用的正弦展开式中的谐波数量所在的其它标注(在此m用作谐波数量)不同。于是,n不是不同的偏置的数量,而是不同的光栅的数量,所述不同的光栅都可以具有不同的偏置。然而,多个不同的光栅也可以具有同一偏置(但是衬底位置不同且局部重叠不同),只要存在足够数量的不同的偏置用于在模型所应用的衬底上求解该模型。
该光栅可以既在划线中也在管芯中。划线光栅可能具有Km值(其中,在此,m表示在谐波正弦级数中的K0、K1和K2等),所述Km值与管芯中的光栅不同,因为处理和层可能稍许不同。当在同一模型化步骤中,可以将其在模型中分成Km(scribe)和Km(in_die)进行考虑。
本发明的实施例使用大量的(超)小目标的快速读出,并然后求解针对于整个场上的模型参数而不是在每个测量位置(目标布置所在的衬底部位)处局部的模型参数的测量信息。该大量的光栅或目标允许提取多于一个的重叠和多于一个的K值。进而,通过立刻对模型参数求解而产生噪声平均化。
在图7的讨论中,第一假定是Km值(m=0,1,2,...)在求解模型参数所针对的衬底部位上是恒定的。求解器对于这种多参数系统进行求解。这些可以是模型,例如最小二乘非线性模型,置信域(trust-region)模型、列文伯格-马夸尔特(Levenberg-Marquardt)模型等,例如,可以用于扫描器中和步进机中以校正重叠和栅格变形,以使得可以直接地将散射仪测量的模型参数反馈到扫描器中。
然而,该假定在通常情况下不总是正确的,这是因为来自处理的局部堆叠和蚀刻变化。在一实施例中,这通过使Km系数浮动来解决,例如使Km系数作为晶片衬底上的半径的函数来浮动,虽然这可以增大置信区间,但是其导致了所确定的重叠的精确度。在一不同的实施例中,该系数可以在晶片上的场或管芯的一部分上被考虑成恒定的,因此,不在这样的部分上浮动,然而,可以在相邻的管芯或场部分之间稍微变化。
本发明的一个或更多个实施例的一些潜在的优势包括:重叠根据BGA校正和所包含的更高的谐波非线性而被更精确地确定。对于重叠的固有的目标不对称度贡献被减小。在不对称度与重叠的关系中考虑更高阶项,这提高了基于暗场衍射的量测的线性度。通过在许多小的目标或光栅上进行平均和将模型参数计算为每个场“单个”步骤,测量的噪声被平均掉。而且,印刷误差(例如线边缘粗糙度)和晶片误差被平均掉。
尽管上述目标结构是出于测量目的而具体地设计和形成的量测目标,但是在其它实施例中,性质可以在作为形成在衬底上的器件的功能部分的目标上被测量。许多器件具有规则的、光栅似的结构。在本文中所使用的术语“目标光栅”和“目标结构”不需要结构已经被具体地针对所执行的测量而提供。
结合在衬底和图案形成装置上实现的目标的物理光栅结构,一实施例可以包括包含一个或更多个机器可读指令序列的计算机程序,所述指令用于描述在衬底上产生目标、测量在衬底上的目标和/或分析测量结果以获得关于光刻过程的信息的方法。该计算机程序可以例如在图3的设备中的单元PU和/或图2的控制单元LACU内执行。也可以设置具有其中存储有这种计算机程序的数据存储介质(例如,半导体存储器、磁盘或光盘)。在例如图3所示类型的现有的量测设备已经在生产和/或在使用的情形中,本发明可以通过提供更新的计算机程序产品而被实现,其中所述更新的计算机程序产品用于使得处理器执行修改的步骤S6并且因此计算重叠误差,而对于特征不对称度的敏感度减小。可选地,该程序可以被布置用于控制光学系统、衬底支撑结构等等,以执行用于测量多个适当的目标结构上的不对称度的步骤S2-S5。
虽然上文已经做出了具体参考,将本发明的实施例用于光学光刻术的情况中,应该注意到,本发明可以用在其它的应用中,例如压印光刻术,并且只要情况允许,不局限于光学光刻术。在压印光刻术中,图案形成装置中的形貌限定了在衬底上产生的图案。可以将所述图案形成装置的形貌印刷到提供给所述衬底的抗蚀剂层中,在其上通过施加电磁辐射、热、压力或其组合来使所述抗蚀剂固化。在所述抗蚀剂固化之后,所述图案形成装置被从所述抗蚀剂上移走,并在抗蚀剂中留下图案。
这里使用的术语“辐射”和“束”包含全部类型的电磁辐射,包括:紫外辐射(UV)(例如具有或约为365、355、248、193、157或126nm的波长)和极紫外(EUV)辐射(例如具有在5-20nm范围内的波长),以及粒子束,例如离子束或电子束。
在允许的情况下,术语“透镜”可以表示各种类型的光学部件中的任何一种或其组合,包括折射式的、反射式的、磁性的、电磁的以及静电的光学部件。
具体实施例的前述说明将充分地揭示本发明的一般属性,以致于其他人通过应用本领域技术的知识可以在不需要过多的实验、不背离本发明的整体构思的情况下针对于各种应用容易地修改和/或适应这样的具体实施例。因此,基于这里给出的教导和启示,这种修改和适应应该在所公开的实施例的等价物的范围和含义内。应该理解,这里的术语或措辞是为了举例描述的目的,而不是限制性的,使得本说明书的术语或措辞由本领域技术人员根据教导和启示进行解释。
本发明的覆盖度和范围不应该受上述的示例性实施例的任何一个限制,而应该仅根据随附的权利要求及其等价物限定。
应该认识到,具体实施例部分,而不是发明内容和摘要部分,用于解释权利要求。发明内容和摘要部分可以阐述本发明人所构思的本发明的一个或更多个示例性实施例、但不是全部示例性实施例,因而不能够以任何方式限制本发明和随附的权利要求。
上面借助示出具体功能及其关系的实施方式的功能性构造块描述了本发明。为了方便说明,这些功能性构造块的边界在此任意限定。可以限定替代的边界,只要特定功能及其关系被适当地执行即可。
具体实施例的前述说明将充分地揭示本发明的一般属性,以致于其他人通过应用本领域技术的知识可以在不需要过多的实验、不背离本发明的整体构思的情况下针对于各种应用容易地修改和/或适应这样的具体实施例。因此,基于这里给出的教导和启示,这种修改和适应应该在所公开的实施例的等价物的范围和含义内。应该理解,这里的术语或措辞是为了描述的目的,而不是限制性的,使得本说明书的术语或措辞由本领域技术人员根据教导和启示进行解释。
本发明的覆盖度和范围不应该受到上述的示例性实施例中的任一个限制,而应该仅根据随附的权利要求及其等价物限定。

Claims (24)

1.一种测量光刻过程的参数的方法,所述方法包括步骤:
(a)使用所述光刻过程以形成在横跨衬底的多个部位处分布且具有重叠的周期结构的多个目标结构,所述重叠的周期结构具有横跨所述目标结构分布的多个不同的重叠偏置值,所述目标结构中的至少一些包括多个重叠的周期结构,所述多个重叠的周期结构比所述多个不同的重叠偏置值少;
(b)照射所述目标结构和检测在由所述目标结构散射的辐射中的不对称度;
(c)使用所检测的不对称度来确定所述参数。
2.根据权利要求1所述的方法,其中使用所检测的不对称度来确定所述参数的步骤(c)包括在重叠误差和不对称度之间的假定的非线性关系。
3.根据权利要求1或2所述的方法,其中使用所检测的不对称度来确定所述参数的步骤(c)包括使用在横跨衬底的不同部位处分布的并且具有三个或更多个不同的各个重叠偏置值的三个或更多个目标结构的所检测的不对称度、以通过使用所述三个不同的重叠偏置值的知识来确定所述参数。
4.根据权利要求1至3中任一项所述的方法,其中使用所检测的不对称度来确定所述参数的步骤(c)包括使用横跨衬底的重叠误差的多参数模型。
5.根据权利要求4所述的方法,其中重叠误差的所述多参数模型包括表示平移、放大、旋转和衬底坐标的参数。
6.根据权利要求5所述的方法,其中重叠误差的所述多参数模型是至少六参数模型。
7.根据权利要求2或引用权利要求2的权利要求3至6中的任一项所述的方法,其中,所述假定的非线性关系是正弦函数、或可选地是彼此以谐波方式关联的正弦函数的组合。
8.根据前述任一项权利要求所述的方法,其中,使用所检测的不对称度来确定所述参数的步骤(c)以以下假定来执行:对于由于所述周期结构中的一个或更多个内的特征不对称度造成的整体不对称度的贡献对于所有的重叠值是恒定的。
9.根据权利要求2或引用权利要求2的权利要求3-8中任一项所述的方法,其中,使用所检测的不对称度来确定所述参数的步骤(c)以以下假定来执行:对于由于所述周期结构中的一个或更多个内的特征不对称度造成的整体不对称度的贡献和用于描述该假定的非线性关系的一个或更多个谐波比例常数是浮动的。
10.根据前述权利要求中任一项所述的方法,其中所述不同的重叠偏置值所跨的范围大于所述周期结构的相应的节距的4%、10%,可选地大于所述周期结构的相应的节距的15%或20%。
11.一种用于测量光刻过程的参数的检查设备,所述检查设备包括:
用于衬底的支撑件,所述衬底具有在横跨衬底的多个部位处分布且具有重叠的周期结构的多个目标结构,所述重叠的周期结构具有横跨所述目标结构分布的多个不同的重叠偏置值,所述目标结构中的至少一些包括比所述多个不同的重叠偏置值少的多个重叠的周期结构;
光学系统,用于照射目标结构和检测由所述目标结构散射的辐射中的不对称度;
处理器,布置成使用所检测的不对称度来确定所述参数。
12.根据权利要求11所述的检查设备,其中所述处理器布置成通过包括在重叠误差和不对称度之间的假定的非线性关系来使用所检测的不对称度确定所述参数。
13.根据权利要求11或12所述的检查设备,其中所述处理器布置成通过使用在横跨衬底的不同部位处分布且具有三个或更多个不同的各个重叠偏置值的三个或更多个目标结构的所检测的不对称度、以通过使用所述三个不同的重叠偏置值的知识确定所述参数,来使用所检测到的不对称度确定所述参数。
14.根据权利要求11-13中任一项所述的检查设备,其中,所述处理器布置成通过使用横跨衬底的重叠误差的多参数模型来使用所检测的不对称度确定所述参数。
15.根据权利要求14所述的检查设备,其中重叠误差的所述多参数模型包括表示平移、放大、旋转和衬底坐标的参数。
16.根据权利要求15所述的检查设备,其中重叠误差的所述多参数模型是至少六参数模型。
17.根据权利要求12或引用权利要求12的权利要求13至16中的任一项所述的检查设备,其中,所述假定的非线性关系是正弦函数、或可选地是彼此以谐波方式关联的正弦函数的组合。
18.根据权利要求11-17中任一项所述的检查设备,其中,所述处理器布置成以以下假定使用所检测的不对称度来确定所述参数:对于由于所述周期结构中的一个或更多个内的特征不对称度造成的整体不对称度的贡献对于所有的重叠值是恒定的。
19.根据权利要求12或引用权利要求12的权利要求13-18中任一项所述的检查设备,其中,所述处理器布置成以以下假定使用所检测的不对称度来确定所述参数:对于由于所述周期结构中的一个或更多个内的特征不对称度造成的整体不对称度的贡献以及用于描述该假定的非线性关系的一个或更多个谐波比例常数是浮动的。
20.根据权利要求11-17中任一项所述的检查设备,其中所述不同的重叠偏置值所跨的范围大于所述周期结构的相应的节距的4%、10%,可选地大于所述周期结构的相应的节距的15%或20%。
21.一种计算机程序产品,包括机器可读指令,所述机器可读指令用于使得处理器执行上述权利要求1至10中任一项所述的方法的处理步骤(c)。
22.一种光刻系统,包括:
光刻设备,所述光刻设备包括:
照射光学系统,布置成照射图案;
投影光学系统,布置成将图案的图像投影到衬底上;以及
根据权利要求11至20中任一项的检查设备,
其中,所述光刻设备布置成在将图案应用于另外的衬底时使用来自所述检查设备的测量结果。
23.一种制造器件的方法,其中使用光刻过程将器件图案应用于一系列衬底,所述方法包括使用权利要求1至10中任一项所述的方法检查形成为在至少一个所述衬底上的所述器件图案的一部分的或者在至少一个所述衬底上的所述器件图案旁边形成的至少一个周期结构,并且根据所述方法的结果控制用于后续衬底的光刻过程。
24.一种衬底,包括在横跨衬底的多个部位处分布且具有重叠的周期结构的多个目标结构,所述重叠的周期结构具有横跨所述目标结构分布的多个不同的重叠偏置值,所述目标结构中的至少一些包括比所述多个不同的重叠偏置值少的多个重叠的周期结构。
CN201380035631.0A 2012-07-05 2013-06-17 用于光刻术的量测 Active CN104471484B (zh)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US201261668277P 2012-07-05 2012-07-05
US61/668,277 2012-07-05
PCT/EP2013/062516 WO2014005828A1 (en) 2012-07-05 2013-06-17 Metrology for lithography

Publications (2)

Publication Number Publication Date
CN104471484A true CN104471484A (zh) 2015-03-25
CN104471484B CN104471484B (zh) 2018-02-06

Family

ID=48628684

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201380035631.0A Active CN104471484B (zh) 2012-07-05 2013-06-17 用于光刻术的量测

Country Status (8)

Country Link
US (2) US9714827B2 (zh)
JP (2) JP6133980B2 (zh)
KR (2) KR102015934B1 (zh)
CN (1) CN104471484B (zh)
IL (1) IL236397B (zh)
NL (1) NL2010988A (zh)
TW (1) TWI503635B (zh)
WO (1) WO2014005828A1 (zh)

Cited By (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN107036531A (zh) * 2015-12-11 2017-08-11 索泰克公司 用于检测缺陷的方法和关联装置
CN108292108A (zh) * 2015-11-27 2018-07-17 Asml荷兰有限公司 计量目标、方法和设备、计算机程序和光刻系统
CN109073995A (zh) * 2016-03-01 2018-12-21 Asml荷兰有限公司 用于确定图案化工艺的参数的方法和设备
CN109478019A (zh) * 2016-07-05 2019-03-15 Asml荷兰有限公司 用于检查设备的照射源、检查设备和检查方法
CN110647012A (zh) * 2015-07-13 2020-01-03 应用材料以色列公司 用于测量多层结构的层之间叠对的技术
CN110998448A (zh) * 2017-07-28 2020-04-10 Asml荷兰有限公司 量测方法和设备以及计算机程序
CN111065887A (zh) * 2017-09-12 2020-04-24 Asml控股股份有限公司 光束指向监测和补偿系统
CN111095472A (zh) * 2017-09-18 2020-05-01 Asml荷兰有限公司 针对射束图像系统的开关矩阵设计
CN111656282A (zh) * 2018-01-30 2020-09-11 Asml荷兰有限公司 确定衬底栅格的测量设备和方法
CN111736436A (zh) * 2016-04-22 2020-10-02 Asml荷兰有限公司 堆叠差异的确定和使用堆叠差异的校正
CN113544588A (zh) * 2019-03-01 2021-10-22 Asml荷兰有限公司 对准方法和相关联的量测装置
CN114207432A (zh) * 2019-08-14 2022-03-18 Asml荷兰有限公司 用于确定关于目标结构的信息的方法和量测工具及悬臂式探针

Families Citing this family (26)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN104471484B (zh) * 2012-07-05 2018-02-06 Asml荷兰有限公司 用于光刻术的量测
WO2014194095A1 (en) 2013-05-30 2014-12-04 Kla-Tencor Corporation Combined imaging and scatterometry metrology
KR102124204B1 (ko) * 2013-08-07 2020-06-18 에이에스엠엘 네델란즈 비.브이. 메트롤로지 방법 및 장치, 리소그래피 시스템 및 디바이스 제조 방법
CN105900015B (zh) * 2013-11-26 2019-07-05 Asml荷兰有限公司 用于光刻度量的方法、设备和衬底
TWI560747B (en) * 2014-04-02 2016-12-01 Macromix Internat Co Ltd Method of manufacturing semiconductor and exposure system
EP3149544B1 (en) * 2014-06-02 2018-10-10 ASML Netherlands B.V. Method of designing metrology targets, substrates having metrology targets, method of measuring overlay, and device manufacturing method
US10210606B2 (en) * 2014-10-14 2019-02-19 Kla-Tencor Corporation Signal response metrology for image based and scatterometry overlay measurements
CN107111250B (zh) 2014-11-26 2019-10-11 Asml荷兰有限公司 度量方法、计算机产品和系统
JP6510658B2 (ja) 2015-02-04 2019-05-08 エーエスエムエル ネザーランズ ビー.ブイ. メトロロジの方法及び装置、コンピュータプログラム、並びにリソグラフィシステム
US10185303B2 (en) * 2015-02-21 2019-01-22 Kla-Tencor Corporation Optimizing computational efficiency by multiple truncation of spatial harmonics
WO2016169901A1 (en) * 2015-04-21 2016-10-27 Asml Netherlands B.V. Metrology method and apparatus, computer program and lithographic system
NL2017271A (en) 2015-08-20 2017-02-22 Asml Netherlands Bv Metrology method and apparatus, substrates for use in such methods, lithographic system and device manufacturing method
DE102015221773A1 (de) * 2015-11-05 2017-05-11 Carl Zeiss Smt Gmbh Verfahren und Vorrichtung zur Charakterisierung eines durch wenigstens einen Lithographieschritt strukturierten Wafers
NL2017789A (en) 2015-12-04 2017-06-13 Asml Netherlands Bv Statistical hierarchical reconstruction from metrology data
US9846128B2 (en) * 2016-01-19 2017-12-19 Applied Materials Israel Ltd. Inspection system and a method for evaluating an exit pupil of an inspection system
WO2017140528A1 (en) * 2016-02-19 2017-08-24 Asml Netherlands B.V. Method of measuring a structure, inspection apparatus, lithographic system, device manufacturing method and wavelength-selective filter for use therein
US10068323B2 (en) * 2016-04-10 2018-09-04 Kla-Tencor Corporation Aware system, method and computer program product for detecting overlay-related defects in multi-patterned fabricated devices
EP3336606A1 (en) * 2016-12-16 2018-06-20 ASML Netherlands B.V. Method for monitoring a characteristic of illumination from a metrology apparatus
KR102326192B1 (ko) * 2017-05-03 2021-11-15 에이에스엠엘 네델란즈 비.브이. 계측 파라미터 결정 및 계측 레시피 선택
WO2018202388A1 (en) 2017-05-03 2018-11-08 Asml Netherlands B.V. Metrology parameter determination and metrology recipe selection
KR102432667B1 (ko) * 2017-05-15 2022-08-17 삼성전자주식회사 오버레이 보정방법 및 제어 시스템
IL273145B2 (en) * 2017-09-11 2024-03-01 Asml Netherlands Bv Lithographic processes in meteorology
EP3457212A1 (en) * 2017-09-18 2019-03-20 ASML Netherlands B.V. Method of controlling a patterning process, device manufacturing method
WO2019160431A1 (ru) * 2018-02-14 2019-08-22 Константин Александрович ШАВРИН Устройство и способ записи информации на магнитный носитель информации
US10642161B1 (en) 2018-10-10 2020-05-05 International Business Machines Corporation Baseline overlay control with residual noise reduction
WO2020141092A1 (en) * 2018-12-31 2020-07-09 Asml Netherlands B.V. In-die metrology methods and systems for process control

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20080043239A1 (en) * 2006-08-15 2008-02-21 Asml Netherlands B.V. Method and apparatus for angular-resolved spectroscopic lithography characterization
US20110255066A1 (en) * 2008-06-11 2011-10-20 Asml Netherlands B.V. Apparatus and Method for Inspecting a Substrate
CN102422226A (zh) * 2009-05-11 2012-04-18 Asml荷兰有限公司 确定重叠误差的方法

Family Cites Families (33)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7317531B2 (en) 2002-12-05 2008-01-08 Kla-Tencor Technologies Corporation Apparatus and methods for detecting overlay errors using scatterometry
US6819426B2 (en) 2001-02-12 2004-11-16 Therma-Wave, Inc. Overlay alignment metrology using diffraction gratings
US7061615B1 (en) 2001-09-20 2006-06-13 Nanometrics Incorporated Spectroscopically measured overlay target
US7170604B2 (en) 2002-07-03 2007-01-30 Tokyo Electron Limited Overlay metrology method and apparatus using more than one grating per measurement direction
SG120949A1 (en) * 2002-09-20 2006-04-26 Asml Netherlands Bv Alignment system and methods for lithographic systems using at least two wavelengths
US7440105B2 (en) * 2002-12-05 2008-10-21 Kla-Tencor Technologies Corporation Continuously varying offset mark and methods of determining overlay
US7608468B1 (en) * 2003-07-02 2009-10-27 Kla-Tencor Technologies, Corp. Apparatus and methods for determining overlay and uses of same
DE102005046973B4 (de) * 2005-09-30 2014-01-30 Globalfoundries Inc. Struktur und Verfahren zum gleichzeitigen Bestimmen einer Überlagerungsgenauigkeit und eines Musteranordnungsfehlers
US7391513B2 (en) * 2006-03-29 2008-06-24 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method using overlay measurement quality indication
US7616313B2 (en) * 2006-03-31 2009-11-10 Kla-Tencor Technologies Corporation Apparatus and methods for detecting overlay errors using scatterometry
US7528941B2 (en) * 2006-06-01 2009-05-05 Kla-Tencor Technolgies Corporation Order selected overlay metrology
US7898662B2 (en) * 2006-06-20 2011-03-01 Asml Netherlands B.V. Method and apparatus for angular-resolved spectroscopic lithography characterization
US7619737B2 (en) 2007-01-22 2009-11-17 Asml Netherlands B.V Method of measurement, an inspection apparatus and a lithographic apparatus
NL1036245A1 (nl) 2007-12-17 2009-06-18 Asml Netherlands Bv Diffraction based overlay metrology tool and method of diffraction based overlay metrology.
NL1036597A1 (nl) 2008-02-29 2009-09-01 Asml Netherlands Bv Metrology method and apparatus, lithographic apparatus, and device manufacturing method.
US20100296074A1 (en) * 2009-04-30 2010-11-25 Nikon Corporation Exposure method, and device manufacturing method
JP2010267931A (ja) * 2009-05-18 2010-11-25 Toshiba Corp パターン形成方法およびパターン設計方法
GB2471508A (en) * 2009-07-02 2011-01-05 Invibio Ltd Composite enclosure with specific WVTR and impact strength, used in an implantable device
NL2004995A (en) 2009-07-21 2011-01-24 Asml Netherlands Bv Method of determining overlay error and a device manufacturing method.
KR101429629B1 (ko) 2009-07-31 2014-08-12 에이에스엠엘 네델란즈 비.브이. 계측 방법 및 장치, 리소그래피 시스템, 및 리소그래피 처리 셀
WO2011023517A1 (en) 2009-08-24 2011-03-03 Asml Netherlands B.V. Metrology method and apparatus, lithographic apparatus, lithographic processing cell and substrate comprising metrology targets
JP2011119457A (ja) 2009-12-03 2011-06-16 Nikon Corp 位置合わせ条件最適化方法及びシステム、パターン形成方法及びシステム、露光装置、デバイス製造方法、並びに重ね合わせ精度評価方法及びシステム
NL2005459A (en) * 2009-12-08 2011-06-09 Asml Netherlands Bv Inspection method and apparatus, and corresponding lithographic apparatus.
US9620426B2 (en) * 2010-02-18 2017-04-11 Kla-Tencor Corporation Method and system for providing process tool correctables using an optimized sampling scheme with smart interpolation
EP2392970A3 (en) * 2010-02-19 2017-08-23 ASML Netherlands BV Method and apparatus for controlling a lithographic apparatus
WO2011101187A1 (en) * 2010-02-19 2011-08-25 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
WO2012022584A1 (en) 2010-08-18 2012-02-23 Asml Netherlands B.V. Substrate for use in metrology, metrology method and device manufacturing method
JP5661194B2 (ja) 2010-11-12 2015-01-28 エーエスエムエル ネザーランズ ビー.ブイ. メトロロジ方法及び装置、リソグラフィシステム並びにデバイス製造方法
EP2694983B1 (en) * 2011-04-06 2020-06-03 KLA-Tencor Corporation Method and system for providing a quality metric for improved process control
WO2013143814A1 (en) * 2012-03-27 2013-10-03 Asml Netherlands B.V. Metrology method and apparatus, lithographic system and device manufacturing method
CN104471484B (zh) * 2012-07-05 2018-02-06 Asml荷兰有限公司 用于光刻术的量测
US10352876B2 (en) * 2014-05-09 2019-07-16 KLA—Tencor Corporation Signal response metrology for scatterometry based overlay measurements
US10451412B2 (en) * 2016-04-22 2019-10-22 Kla-Tencor Corporation Apparatus and methods for detecting overlay errors using scatterometry

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20080043239A1 (en) * 2006-08-15 2008-02-21 Asml Netherlands B.V. Method and apparatus for angular-resolved spectroscopic lithography characterization
US20110255066A1 (en) * 2008-06-11 2011-10-20 Asml Netherlands B.V. Apparatus and Method for Inspecting a Substrate
CN102422226A (zh) * 2009-05-11 2012-04-18 Asml荷兰有限公司 确定重叠误差的方法

Cited By (22)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN110647012A (zh) * 2015-07-13 2020-01-03 应用材料以色列公司 用于测量多层结构的层之间叠对的技术
CN110647012B (zh) * 2015-07-13 2022-04-08 应用材料以色列公司 用于测量多层结构的层之间叠对的技术
CN108292108A (zh) * 2015-11-27 2018-07-17 Asml荷兰有限公司 计量目标、方法和设备、计算机程序和光刻系统
CN108292108B (zh) * 2015-11-27 2020-06-26 Asml荷兰有限公司 计量目标、方法和设备、计算机程序和光刻系统
CN107036531B (zh) * 2015-12-11 2020-07-10 索泰克公司 确定空洞型缺陷的尺寸的方法和检测空洞型缺陷的装置
CN107036531A (zh) * 2015-12-11 2017-08-11 索泰克公司 用于检测缺陷的方法和关联装置
US10509214B2 (en) 2015-12-11 2019-12-17 Soitec Method for detecting defects and associated device
CN109073995A (zh) * 2016-03-01 2018-12-21 Asml荷兰有限公司 用于确定图案化工艺的参数的方法和设备
US11101184B2 (en) 2016-03-01 2021-08-24 Asml Netherlands B.V. Method and apparatus to determine a patterning process parameter
US11728224B2 (en) 2016-03-01 2023-08-15 Asml Netherlands B.V. Method and apparatus to determine a patterning process parameter
CN109073995B (zh) * 2016-03-01 2021-03-09 Asml荷兰有限公司 用于确定图案化工艺的参数的方法和设备
CN111736436B (zh) * 2016-04-22 2023-08-18 Asml荷兰有限公司 堆叠差异的确定和使用堆叠差异的校正
CN111736436A (zh) * 2016-04-22 2020-10-02 Asml荷兰有限公司 堆叠差异的确定和使用堆叠差异的校正
CN109478019A (zh) * 2016-07-05 2019-03-15 Asml荷兰有限公司 用于检查设备的照射源、检查设备和检查方法
CN110998448A (zh) * 2017-07-28 2020-04-10 Asml荷兰有限公司 量测方法和设备以及计算机程序
CN111065887A (zh) * 2017-09-12 2020-04-24 Asml控股股份有限公司 光束指向监测和补偿系统
CN111095472A (zh) * 2017-09-18 2020-05-01 Asml荷兰有限公司 针对射束图像系统的开关矩阵设计
CN111095472B (zh) * 2017-09-18 2023-05-02 Asml荷兰有限公司 针对射束图像系统的开关矩阵设计
CN111656282A (zh) * 2018-01-30 2020-09-11 Asml荷兰有限公司 确定衬底栅格的测量设备和方法
CN113544588A (zh) * 2019-03-01 2021-10-22 Asml荷兰有限公司 对准方法和相关联的量测装置
CN113544588B (zh) * 2019-03-01 2024-03-19 Asml荷兰有限公司 对准方法和相关联的量测装置
CN114207432A (zh) * 2019-08-14 2022-03-18 Asml荷兰有限公司 用于确定关于目标结构的信息的方法和量测工具及悬臂式探针

Also Published As

Publication number Publication date
KR20170005904A (ko) 2017-01-16
TWI503635B (zh) 2015-10-11
JP6377187B2 (ja) 2018-08-22
NL2010988A (en) 2014-01-07
WO2014005828A1 (en) 2014-01-09
US9714827B2 (en) 2017-07-25
US20150145151A1 (en) 2015-05-28
KR101967723B1 (ko) 2019-04-10
US20170314915A1 (en) 2017-11-02
IL236397A0 (en) 2015-02-26
CN104471484B (zh) 2018-02-06
KR102015934B1 (ko) 2019-08-29
US11466980B2 (en) 2022-10-11
JP2017072861A (ja) 2017-04-13
TW201403257A (zh) 2014-01-16
IL236397B (en) 2018-08-30
JP2015528125A (ja) 2015-09-24
JP6133980B2 (ja) 2017-05-24
KR20150028287A (ko) 2015-03-13

Similar Documents

Publication Publication Date Title
CN104471484A (zh) 用于光刻术的量测
KR102104843B1 (ko) 계측 방법 및 장치, 컴퓨터 프로그램 및 리소그래피 시스템
CN102483582B (zh) 量测方法和设备、光刻设备、光刻处理单元和包括量测目标的衬底
US9535342B2 (en) Metrology method and apparatus, and device manufacturing method
US9719945B2 (en) Metrology method and apparatus, lithographic system and device manufacturing method
CN104350424B (zh) 量测方法和设备、衬底、光刻系统以及器件制造方法
CN103201682B (zh) 量测方法和设备、光刻系统和器件制造方法
CN105452962B (zh) 量测方法和设备、光刻系统和器件制造方法
CN104395830B (zh) 确定聚焦的方法、检查设备、图案形成装置、衬底以及器件制造方法
CN105814491B (zh) 检查设备和方法、具有量测目标的衬底、光刻系统和器件制造方法
JP6045588B2 (ja) メトロロジ方法及び装置並びにデバイス製造方法
CN102576188B (zh) 用于确定衬底上的对象的近似结构的方法、检验设备以及衬底
CN104823113A (zh) 确定剂量和焦点的方法、检查设备、图案形成装置、衬底及器件制造方法
CN106164775A (zh) 量测方法和设备、衬底、光刻系统和器件制造方法
CN107111245A (zh) 测量非对称性的方法、检查设备、光刻系统及器件制造方法
CN102498441A (zh) 量测方法和设备、光刻系统以及光刻处理单元
CN106030414A (zh) 目标布置的优化和相关的目标
CN105308508A (zh) 确定与临界尺寸相关的性质的方法、检查装置和器件制造方法
CN102422227A (zh) 用于光刻技术的检查方法
KR102170147B1 (ko) 모듈레이션 기술을 이용한 메트롤로지를 위한 대체 타겟 디자인

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant