CN103983912B - 适用于片上系统的扫描测试控制电路 - Google Patents

适用于片上系统的扫描测试控制电路 Download PDF

Info

Publication number
CN103983912B
CN103983912B CN201410186205.3A CN201410186205A CN103983912B CN 103983912 B CN103983912 B CN 103983912B CN 201410186205 A CN201410186205 A CN 201410186205A CN 103983912 B CN103983912 B CN 103983912B
Authority
CN
China
Prior art keywords
power gating
signal
circuit
gating module
module
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
CN201410186205.3A
Other languages
English (en)
Other versions
CN103983912A (zh
Inventor
王金城
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Samsung Semiconductor China R&D Co Ltd
Samsung Electronics Co Ltd
Original Assignee
Samsung Semiconductor China R&D Co Ltd
Samsung Electronics Co Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Samsung Semiconductor China R&D Co Ltd, Samsung Electronics Co Ltd filed Critical Samsung Semiconductor China R&D Co Ltd
Priority to CN201410186205.3A priority Critical patent/CN103983912B/zh
Publication of CN103983912A publication Critical patent/CN103983912A/zh
Application granted granted Critical
Publication of CN103983912B publication Critical patent/CN103983912B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Abstract

公开一种适用于片上系统的扫描测试控制电路。所述片上系统包括多个电源门控模块和多个非电源门控模块,所述扫描测试控制电路包括:与所述多个电源门控模块对应的多个二选一电路,每个二选一电路的第一输入端接收电源门控信号,第二输入端接收扫描测试模式的电源门控信号,控制端接收扫描模式信号,输出端连接到电源门控模块的门控晶体管的栅极;多个屏蔽电路,每个屏蔽电路连接在一个电源门控模块和一个非电源门控模块之间,选择性地输出0或1。

Description

适用于片上系统的扫描测试控制电路
技术领域
本发明涉及片上系统(SOC),更具体地讲,涉及一种适用于SOC的扫描测试控制电路,所述扫描测试控制电路能够有效地减少测试功耗并提高测试通过率。
背景技术
随着片上系统(SOC)的规模发展得越来越大,出于功耗的考虑,电源门控(powergating)设计方法被广泛应用在SOC芯片设计领域。与此同时,由于SOC的规模增大,扫描测试硬件和软件的要求也越来越高,因此对SOC芯片进行分组扫描测试越来越普及。
图1是示出具有电源门控模块和非电源门控模块的SOC的示图。参照图1,P1…Pn表示电源门控模块,N1…Nn表示非电源门控模块,电源门控模块P1…Pn具有门控逻辑,箭头表示各个模块的输入和输出。在图1中,门控逻辑由PMOS晶体管实现。然而,门控逻辑也可由NMOS晶体管实现。
图2是示出根据现有技术的SOC的扫描测试的示例的示图。参照图2,电源门控模块的PMOS晶体管由相关逻辑进行控制。每个电源门控模块的门控电路在扫描测试模式下(即,扫描模式信号(scan mode)=1),无论电源门控信号如何,各个电源门控模块都是上电的。即,当scan mode=1时,PMOS晶体管导通,从而将电源电压提供给电源门控模块。也就是说,在现有测试方法中,SOC芯片的所有模块都处于上电状态。然而,往往需要测试的区域仅是一小部分,这样时钟信号和组合逻辑变化信号会传递到非测试逻辑,从而带来大量的动态功耗,还会导致IR-Drop问题。
发明内容
因此,本发明的目的在于提供一种适用于片上系统的扫描测试控制电路,所述扫描测试控制电路能够实现局部上电模块扫描测试并且能够防止掉电模块输出的不定态信号对非电源门控模块的影响,从而有效地降低功耗。
根据本发明的一方面,提供一种适用于片上系统的扫描测试控制电路,所述片上系统包括多个电源门控模块和多个非电源门控模块,所述扫描测试控制电路包括:与所述多个电源门控模块对应的多个二选一电路,每个二选一电路的第一输入端接收电源门控信号,第二输入端接收扫描测试模式的电源门控信号,控制端接收扫描模式信号,输出端连接到电源门控模块的门控晶体管的栅极;多个屏蔽电路,每个屏蔽电路连接在一个电源门控模块和一个非电源门控模块之间,选择性地输出0或1。
优选地,当扫描模式信号为0时,电源门控模块处于非扫描测试状态,并且二选一电路将电源门控信号输出到电源门控模块的门控晶体管的栅极,以使门控晶体管根据电源门控信号导通或截止;当扫描模式信号为1时,电源门控模块处于扫描测试状态,并且二选一电路将扫描测试模式的电源门控信号输出到电源门控模块的门控晶体管的栅极,以使门控晶体管根据扫描测试模式的电源门控信号导通或截止。
优选地,当门控晶体管导通时,门控晶体管将电源电压提供给电源门控模块。
优选地,所述扫描测试控制电路还包括:非门,输入端接收扫描测试模式的电源门控信号,其中,屏蔽电路是与门,与门的两个输入端分别接收非门的输出信号和电源门控模块的输出信号。
优选地,所述扫描测试控制电路还包括:多个缓冲器,每个缓冲器的输入端接收扫描测试模式的电源门控信号,其中,屏蔽电路是或门,或门的两个输入端分别接收缓冲器的输出信号和电源门控模块的输出信号。
根据本发明,在对SOC中的部分电源门控模块进行扫描测试时,其他电源门控模块可以掉电,并且掉电的电源门控模块的输出信号别屏蔽。这样,SOC中的非电源门控模块不会受到掉电的电源门控模块的影响,并且可降低测试功耗,减少逻辑状态的翻转,进而减少IR-drop,提高测试通过率。
附图说明
通过下面结合附图对实施例进行的描述,本发明的这些和/或其他方面和优点将会变得清楚和更易于理解,在附图中:
图1是示出具有电源门控模块和非电源门控模块的SOC的示图;
图2是示出根据现有技术的SOC的扫描测试的示例的示图;
图3是示出根据本发明的示例性实施例的适用于SOC的扫描测试控制电路的示图;
图4是示出根据本发明的示例性实施例的SOC之间的两两测试的示图。
具体实施方式
在下文中参照附图更充分地描述本发明,在附图中示出了本发明的示例性实施例。然而,本发明可以以许多不同的形式来实施,且不应该解释为局限于在这里所提出的实施例。相反,提供这些实施例使得本公开将是彻底和完全的,并将本发明的范围充分地传达给本领域技术人员。
以将参照附图详细地解释本发明。
图3是示出根据本发明的示例性实施例的适用于SOC的扫描测试控制电路的示图。
参照图3,适用于SOC的扫描测试控制电路可包括二选一电路301和屏蔽电路302,并可选择性地包括非门303。在图3中仅示出一个电源门控模块,然而,SOC可包括多个电源门控模块和非电源门控模块,适用于SOC的扫描测试控制电路可包括与多个电源门控模块对应的多个二选一电路301,并且可包括多个屏蔽电路302以及多个非门303。
二选一电路301的第一输入端(0端)接收电源门控信号,第二输入端(1端)接收扫描测试模式的电源门控信号(power gating test control),控制端接收扫描模式信号(scan mode),输出端连接到电源门控模块的门控晶体管的栅极。这样,当scan mode=0时,电源门控模块将处于非扫描状态。这时,二选一电路301将电源门控信号输出到电源门控模块的门控晶体管的栅极,从而门控晶体管根据电源门控信号导通或截止。因此,电源门控模块可根据电源门控信号上电。另一方面,当scan mode=1时,电源门控模块将处于扫描状态。这时,二选一电路301将扫描测试模式的电源门控信号输出到电源门控模块的门控晶体管的栅极,从而门控晶体管根据扫描测试模式的电源门控信号导通或截止。因此,即使在扫描状态下,也可根据扫描测试模式的电源门控信号选择性地导通/截止门控晶体管,以使电源门控模块上电/掉电。因此,可在实现局部扫描测试的情况下使不需要测试的电源门控模块掉电,从而减少功耗。
屏蔽电路302连接在电源门控模块和非电源门控模块之间,选择性地输 出0或1。例如,屏蔽电路302可以是与门,这样,当电源门控模块掉电时,非门303输出0,而屏蔽电路302输出屏蔽信号0。另一方面,屏蔽电路302可以是或门,同时使用缓冲器替换非门303,这样,当电源门控模块掉电时,缓冲器输出为1,并且屏蔽电路302输出屏蔽信号1。进一步讲,当处于扫描测试状态下的电源门控模块的电源被门控电路关断(即,电源门控模块的门控晶体管截止),则该电源门控模块会输出不定态信号。如果不屏蔽这种不定态信号,则不定态信号会传输到一直上电的非电源门控模块,从而导致静态功耗的增加。因此,在电源门控模块和非电源门控模块之间插入屏蔽电路302,根据设计需要,屏蔽电路302可输出0或1。例如,屏蔽电路302可以是与门,它的两个输入端分别接收电源门控模块的输出信号和非门303的输出信号。非门303的输入端接收扫描测试模式的电源门控信号。这样,在扫描测试状态(即,scan mode=1)下,如果电源门控测试控制信号为1,则门控晶体管截止,电源门控模块掉电(即,电源门控模块的电源被门控电路关断)。此时,非门303的输出信号为0,与门的输出信号也为0,即,屏蔽电路302将掉电的电源门控模块的输出屏蔽为0。另一方面,屏蔽电路302可以是与非门。这样,当扫描测试状态下的电源门控模块掉电时,屏蔽电路302输出1。注意,根据设计需要,屏蔽电路302可通过各种不同的逻辑电路来实现,只要其输出在扫描测试状态下的电源门控模块掉电时保持0或1即可。
图4是示出根据本发明的示例性实施例的SOC之间的两两测试的示图。
参照图4,存在两个测试模式,一个是test_x,另一个是test_y。在每个测试模式之下,有两类功能模块,一类是P_开头的电源门控模块,一类是N_开头的非电源门控模块。非电源门控模块始终处于上电状态。屏蔽电路永远都是设置断电的电源门控模块(P_)到上电的非电源门控模块的路径上,而一起测试的电源门控模块之间不需要设置屏蔽电路。注意,为了清楚简要,屏蔽电路省略了电源门控测试控制信号经过非门之后的输入。
根据本发明的示例性实施例,在对SOC中的部分电源门控模块进行扫描测试时,其他测试模式下的电源门控模块可以掉电,并且掉电的电源门控模块的输出信号被屏蔽。这样,当前测试模式下SOC中的非电源门控模块不会受到其他测试模式掉电的电源门控模块的影响,并且可降低测试功耗,减少逻辑状态的翻转,进而减少IR-drop,提高测试通过率。
虽然已经显示和描述了一些实施例,但是本领域技术人员应该理解,在 不脱离本发明的原理和精神的情况下,可以对这些实施例进行修改,本发明的范围由权利要求及其等同物限定。

Claims (4)

1.一种适用于片上系统的扫描测试控制电路,所述片上系统包括多个电源门控模块和多个非电源门控模块,所述扫描测试控制电路包括:
与所述多个电源门控模块对应的多个二选一电路,每个二选一电路的第一输入端接收电源门控信号,第二输入端接收扫描测试模式的电源门控信号,控制端接收扫描模式信号,输出端连接到电源门控模块的门控晶体管的栅极;
多个屏蔽电路,每个屏蔽电路连接在一个电源门控模块和一个非电源门控模块之间,选择性地输出0或1,
其中,当扫描模式信号为0时,电源门控模块处于非扫描测试状态,并且二选一电路将电源门控信号输出到电源门控模块的门控晶体管的栅极,以使门控晶体管根据电源门控信号导通或截止;
当扫描模式信号为1时,电源门控模块处于扫描测试状态,并且二选一电路将扫描测试模式的电源门控信号输出到电源门控模块的门控晶体管的栅极,以使门控晶体管根据扫描测试模式的电源门控信号导通或截止,
其中,在同时测试的电源门控模块之间不设置屏蔽电路。
2.根据权利要求1所述的扫描测试控制电路,其中,当门控晶体管导通时,门控晶体管将电源电压提供给电源门控模块。
3.根据权利要求1所述的扫描测试控制电路,还包括:多个非门,每个非门的输入端接收扫描测试模式的电源门控信号,
其中,屏蔽电路是与门,与门的两个输入端分别接收非门的输出信号和电源门控模块的输出信号。
4.根据权利要求1所述的扫描测试控制电路,还包括:多个缓冲器,每个缓冲器的输入端接收扫描测试模式的电源门控信号,
其中,屏蔽电路是或门,或门的两个输入端分别接收缓冲器的输出信号和电源门控模块的输出信号。
CN201410186205.3A 2014-05-05 2014-05-05 适用于片上系统的扫描测试控制电路 Active CN103983912B (zh)

Priority Applications (1)

Application Number Priority Date Filing Date Title
CN201410186205.3A CN103983912B (zh) 2014-05-05 2014-05-05 适用于片上系统的扫描测试控制电路

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
CN201410186205.3A CN103983912B (zh) 2014-05-05 2014-05-05 适用于片上系统的扫描测试控制电路

Publications (2)

Publication Number Publication Date
CN103983912A CN103983912A (zh) 2014-08-13
CN103983912B true CN103983912B (zh) 2017-07-11

Family

ID=51275956

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201410186205.3A Active CN103983912B (zh) 2014-05-05 2014-05-05 适用于片上系统的扫描测试控制电路

Country Status (1)

Country Link
CN (1) CN103983912B (zh)

Families Citing this family (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11177015B2 (en) * 2019-12-05 2021-11-16 Nxp Usa, Inc. Built-in self-testing and failure correction circuitry

Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN101975922A (zh) * 2010-10-11 2011-02-16 上海电力学院 低功耗扫描测试电路及运行方法

Family Cites Families (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2004286540A (ja) * 2003-03-20 2004-10-14 Matsushita Electric Ind Co Ltd 半導体集積回路
WO2008009298A1 (en) * 2006-07-17 2008-01-24 Infineon Technologies Ag On-chip test circuit for an embedded comparator
US7925465B2 (en) * 2007-02-12 2011-04-12 Mentor Graphics Corporation Low power scan testing techniques and apparatus

Patent Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN101975922A (zh) * 2010-10-11 2011-02-16 上海电力学院 低功耗扫描测试电路及运行方法

Non-Patent Citations (2)

* Cited by examiner, † Cited by third party
Title
利用新型的电源屏蔽实现方法降低测试功耗;徐君;《计算机辅助设计与图形学学报》;20100930;第22卷(第9期);第1421-1427页 *
龙芯3号多核处理器的低功耗测试技术;齐子初 等;《计算机辅助设计与图形学学报》;20101130;第22卷(第11期);第2021-2028,第2036页 *

Also Published As

Publication number Publication date
CN103983912A (zh) 2014-08-13

Similar Documents

Publication Publication Date Title
US10614184B2 (en) Semiconductor process and performance sensor
US6456113B2 (en) Scan flip-flop circuit having scan logic output terminal dedicated to scan test
US10451674B2 (en) Apparatus and method for at-speed scan test
US9606177B2 (en) Scan flip-flop circuit with dedicated clocks
US9912337B2 (en) Systems and methods for configuring an SOPC without a need to use an external memory
US10234505B1 (en) Clock generation for integrated circuit testing
US20110276849A1 (en) System, circuit, and device for asynchronously scan capturing multi-clock domains
US8643411B1 (en) System for generating gated clock signals
US11047909B2 (en) Inter-domain power element testing using scan
US20160098506A1 (en) Signal delay flip-flop cell for fixing hold time violation
JP6337099B2 (ja) フロップトレイエリアおよび電力最適化のための回路およびレイアウト技法
US20200005883A1 (en) Simultaneous scan chain initialization with disparate latches
US9024661B2 (en) Glitch free clock multiplexer
CN103983912B (zh) 适用于片上系统的扫描测试控制电路
US20110181331A1 (en) Integrated circuit with leakage reduction in static nets
Liu et al. Asynchronous computing in sense amplifier-based pass transistor logic
KR101690376B1 (ko) 구조적 지연 고장 테스트를 위한 커버리지 증대 및 전력 인식 클록 시스템
Kulkarni et al. Energy efficient implementation, power aware simulation and verification of 16-bit ALU using unified power format standards
CN209086390U (zh) 测试控制电路和集成电路芯片测试电路
US10454457B1 (en) Self-gating flip-flop
CN103105553A (zh) 总剂量辐照与热载流子注入综合效应测试的装置
Han et al. Path delay testing in resilient system
CN102478872B (zh) 电子装置与方法
CN217238764U (zh) 一种cpu与fpga自动控制启动电路
Feng et al. Detection System of Single Event Upset Based on FPGA

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant