CN103235849B - 电流驱动的集成电路自动布线方法及装置 - Google Patents

电流驱动的集成电路自动布线方法及装置 Download PDF

Info

Publication number
CN103235849B
CN103235849B CN201310134331.XA CN201310134331A CN103235849B CN 103235849 B CN103235849 B CN 103235849B CN 201310134331 A CN201310134331 A CN 201310134331A CN 103235849 B CN103235849 B CN 103235849B
Authority
CN
China
Prior art keywords
trunk
electric current
drives
current
wiring
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
CN201310134331.XA
Other languages
English (en)
Other versions
CN103235849A (zh
Inventor
张骥骅
姚海龙
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Tsinghua University
Original Assignee
Tsinghua University
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tsinghua University filed Critical Tsinghua University
Priority to CN201310134331.XA priority Critical patent/CN103235849B/zh
Publication of CN103235849A publication Critical patent/CN103235849A/zh
Application granted granted Critical
Publication of CN103235849B publication Critical patent/CN103235849B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Landscapes

  • Design And Manufacture Of Integrated Circuits (AREA)

Abstract

本发明公开了一种电流驱动的集成电路自动布线方法,包括:读入步骤,读入布线信息,所述布线信息包括待布线网信息、布线设计规则和电流约束;主干构建步骤,根据读入的布线信息构建规避障碍物的电流驱动主干;详细布线步骤,基于构建的电流驱动主干来实现所有端点到所述电流驱动主干的电流驱动的详细布线;主干优化步骤,对所述电流驱动主干的线宽进行优化得到布线结果。本发明方法能够满足电流对布线线宽的约束,有效地避开布线障碍,在避免电迁移效应的情况下,优化布线总面积。

Description

电流驱动的集成电路自动布线方法及装置
技术领域
本发明涉及一种集成电路物理设计领域,尤其涉及一种电流驱动的集成电路自动布线方法及装置。
背景技术
随着集成电路进入纳米工艺,集成电路的工作电流不断增大,而芯片的特征尺寸不断减小,使流过金属互连线上单位面积的电流,即电流密度不断增大,由此引起金属的电迁移现象日益严重。尽管先进的集成电路布线工艺大都采用了铜工艺,比起早期的铝工艺,铜工艺的使用可以大大改善金属电迁移效应,然而,面对不断增大的互连线电流密度,电迁移现象依然非常严重,由于大的工作电流和不足的线宽将会导致电迁移现象的发生,从而产生电路的短路或断路,严重的会造成电路的功能错误,芯片的可靠性和使用寿命面临严峻的挑战。考虑电迁移效应的集成电路物理设计受到关注。尤其是集成电路布线设计中,需要更多地考虑避免金属电迁移效应,避免电迁移效应的布线问题,成为研究的重点受到学术界和工业界的重视。
在布线过程中解决电迁移问题时需要考虑,由于布线资源非常紧张,不能一味地放大线宽,而是要根据电流约束的要求,通过构造满足电流约束的布线拓扑和路径,在实现线网布线的同时避免电迁移效应,最小化连线所占的总面积,以优化布线资源。因此,避免电迁移效应的布线问题是一个变线宽的布线,不能用现有的数字电路的布线算法,需要研究针对电迁移效应的布线算法和布线工具。
发明内容
本发明所要解决的技术问题之一是需要提供一种能够避免电迁移效应的电流驱动的集成电路自动布线方法和装置。
为了解决上述技术问题,本发明提供了一种电流驱动的集成电路自动布线方法,包括:读入步骤,读入布线信息,所述布线信息包括待布线网信息、布线设计规则和电流约束;主干构建步骤,根据读入的布线信息构建规避障碍物的电流驱动主干;详细布线步骤,基于构建的电流驱动主干来实现所有端点到所述电流驱动主干的电流驱动的详细布线;主干优化步骤,对所述电流驱动主干的线宽进行优化得到布线结果。
在一个实施例中,所述布线设计规则包括芯片可布线区域大小、布线障碍物信息和工艺信息,其中,所述布线障碍物信息包括障碍物的顶点坐标和几何坐标,所述工艺信息包括最小线宽、最小线间距以及线网与障碍物的最小距离;所述电流约束包括最大电流密度约束;所述待布线网信息包括线网的规模、关于源端点的信息和关于漏端点的信息,其中,所述关于源端点的信息包括各个源端点的几何坐标和流入电流驱动主干的最大电流值,所述关于漏端点的信息包括各个漏端点的几何坐标和流出电流驱动主干的最大电流值。
在一个实施例中,在所述主干构建步骤中,进一步包括,
步骤21,根据流入电流驱动主干的各个源端点的最大电流值的总和,计算所述电流驱动主干的线宽;
步骤22,根据所述障碍物的几何坐标和所有源端点和漏端点的几何坐标形成的矩形边界框,以所述矩形边界框中较长的边的方向作为所述电流驱动主干的方向;
步骤23,根据确定的所述电流驱动主干的方向,基于所有源端点和漏端点的几何坐标、所有源端点流入所述电流驱动主干的最大电流值以及所有漏端点流出所述电流驱动主干的最大电流值来确定所述电流驱动主干的起始点坐标和终点坐标;
步骤24,判断构建的电流驱动主干是否存在穿越障碍物或与障碍物之间的距离小于所述线网与障碍物的最小距离的部分,若存在,则将该部分进行移动,使得该部分的距离满足所述线网与障碍物的最小距离。
在一个实施例中,步骤21进一步具体为,利用如下表达式计算所述电流驱动主干的线宽W:
W = Σ i = 1 m i i J th × t
其中,ii是第i个源端点流入电流驱动主干的最大电流值,m是流入电流驱动主干的源端点的个数,Jth是金属互连线的电迁移电流密度阈值,t是金属连线的厚度。
在一个实施例中,在步骤22中,若所述矩形边界框为正方形,则任意选择水平方向或垂直方向作为所述电流驱动主干的方向。
在一个实施例中,若所述电流驱动主干的方向为水平方向,则步骤23进一步具体为,
计算所有端点纵坐标的加权平均值,将所述加权平均值作为所述电流驱动主干的纵坐标,其中,各个源端点的权值是各个源端点流入电流驱动主干的最大电流值,各个漏端点的权值是各个漏端点流出电流驱动主干的最大电流值;
将所述线网的规模的线网边界框的左边界与设定值的差作为所述电流驱动主干的横坐标的起始点坐标,将所述线网的规模的线网边界框的右边界与设定值的和作为所述电流驱动主干的横坐标的终点坐标。
在一个实施例中,若所述电流驱动主干的方向为垂直方向,则步骤23进一步具体为,
计算所有端点横坐标的加权平均值,将所述加权平均值作为所述电流驱动主干的横坐标,其中,各个源端点的权值是各个源端点流入电流驱动主干的最大电流值,各个漏端点的权值是各个漏端点流出电流驱动主干的最大电流值;
将所述线网的规模的线网边界框的上边界与设定值的差作为所述电流驱动主干的纵坐标的起始点坐标,将所述线网的规模的线网边界框的下边界与设定值的和作为所述电流驱动主干的纵坐标的终点坐标。
在一个实施例中,在所述详细布线步骤中,基于构建的电流驱动主干的几何位置,采用基于变网格的迷宫布线算法,按照设定顺序实现所有端点到所述电流驱动主干或枝干的分支布线,其中,所述基于变网格的迷宫布线算法为按照满足所述最大电流密度约束的线宽进行分支路径搜索的算法。
在一个实施例中,在所述主干优化步骤中,根据流入和流出所述电流驱动主干的每对相邻斯坦纳点之间的每段电流驱动主干的分支电流大小,调整各对相邻斯坦纳点之间的各段电流驱动主干的线段宽度以优化所述电流驱动主干的线宽得到布线结果,其中,所述斯坦纳点为分支与所述电流驱动主干的连接点。
根据本发明另一方面,还提供了一种电流驱动的集成电路自动布线装置,所述装置通过执行上述方法来对读入的布线信息进行自动布线。
与现有技术相比,本发明的一个或多个实施例可以具有如下优点:
本发明方法构造了满足电流约束的布线拓扑结构,并在布线过程中考虑避开障碍;采用变线宽的迷宫布线方法,实现满足不同电流大小的不同线宽布线;采用布线后线宽调整和优化的方法,使得布线结果满足基尔霍夫电流定律,并优化布线总面积。并且本发明方法能够满足电流对布线线宽的约束,有效地避开布线障碍,在避免电迁移效应的情况下,优化布线总面积。
本发明的其它特征和优点将在随后的说明书中阐述,并且,部分地从说明书中变得显而易见,或者通过实施本发明而了解。本发明的目的和其他优点可通过在说明书、权利要求书以及附图中所特别指出的结构来实现和获得。
附图说明
附图用来提供对本发明的进一步理解,并且构成说明书的一部分,与本发明的实施例共同用于解释本发明,并不构成对本发明的限制。在附图中:
图1是根据本发明第一实施例的电流驱动的集成电路自动布线方法的流程示意图;
图2是利用图1所示方法进行布线后的一种可能结果示例图;
图3(a)和图3(b)分别是利用图1所示方法得到的详细布线结果示例图和进行主干线宽优化后的一种可能结果示例图;
图4是根据本发明第二实施例的电流驱动的集成电路自动布线装置的结构示意图。
具体实施方式
以下将结合附图及实施例来详细说明本发明的实施方式,借此对本发明如何应用技术手段来解决技术问题,并达成技术效果的实现过程能充分理解并据以实施。需要说明的是,只要不构成冲突,本发明中的各个实施例以及各实施例中的各个特征可以相互结合,所形成的技术方案均在本发明的保护范围之内。
另外,在附图的流程图示出的步骤可以在诸如一组计算机可执行指令的计算机系统中执行,并且,虽然在流程图中示出了逻辑顺序,但是在某些情况下,可以以不同于此处的顺序执行所示出或描述的步骤。
第一实施例
本实施例的自动布线方法能够避免电迁移效应,该方法可以满足电流对线宽的约束、避开布线障碍、满足基尔霍夫定律、实现线网的自动布线、优化线网的布线面积。
本实施例主要是先输入电路网表、电流约束以及布线设计规则,通过单主干拓扑建立、详细布线、线宽优化等方法,最后输出布线结果。图1是根据本发明第一实施例的电流驱动的集成电路自动布线方法的流程示意图,下面参考图1来详细说明各个步骤。
步骤S110,读入布线信息,布线信息包括待布线网信息、布线设计规则和电流约束。
其中,布线设计规则包括芯片可布线区域大小、布线障碍物信息、工艺信息。布线障碍物信息包括障碍物的顶点坐标、几何坐标;工艺信息包括最小线宽width、最小线间距space、以及线网与障碍物的最小距离obs_space;电流约束包括最大电流密度约束;待布线网信息(电路网表)包括线网的规模n、关于源端点(可简称源点)source[m]和漏端点(可简称漏点)sink[q]的信息,例如各个源端点流入电流驱动主干(可简称为主干)的最大电流值和各个漏端点流出主干的最大电流值、各个源端点和各个漏端点的几何坐标。
步骤S120,根据读入的布线信息构造规避障碍的电流驱动主干。在该步骤中,具体包括以下子步骤。
S1201,确定电流驱动主干的线宽。该步骤通过设定主干线宽,使之满足流入主干最大电流总和的约束,避免电迁移效应。
具体地,根据流入主干的各个源点最大电流值的总和,对主干的线宽进行计算。可以利用如下表达式(1)来计算:
W = Σ i = 1 m i i J th × t - - - ( 1 )
其中,W是主干的线宽,ii是第i个源点流入主干的最大电流值,m是流入主干的源点的个数,Jth是金属互连线的电迁移电流密度阈值,t是金属连线的厚度。电流密度的阈值根据不同材料的金属连线而不同,一般铜的电流密度阈值设定为:5×106A/cm2
S1202,确定主干的方向。
具体地,根据线网所有源点和所有漏点的几何坐标以及障碍物的几何坐标,来确定主干的方向。需要说明的是,主干方向的确定是非常重要的,这是因为主干的方向对最终的连线总面积大小有很大的影响。
更具体地,利用线网所有源点、漏点和障碍物的几何坐标形成矩形边界框(bounding-box),以边界框较长的边的方向作为主干的方向,如果边界框是一个正方形,则可任选水平或者垂直方向作为主干的方向。
S1203,确定主干的起始点和终点坐标。
具体地,根据确定的电流驱动主干的方向,基于所有源端点和漏端点的几何坐标、所有源端点流入电流驱动主干的最大电流值以及所有漏端点流出电流驱动主干的最大电流值来确定电流驱动主干的起始点坐标和终点坐标。
下面以水平方向作为主干的方向为例,详细说明如何进一步确定主干的起始点和终点坐标。
对于一个水平的主干,起始点与终点有相同的y坐标。计算所有端点(包括源端点和漏端点)纵向坐标的加权平均值,作为该水平主干的y坐标,权值是各端点的最大电流值,进一步,对于各个源端点的权值是各个源端点流入电流驱动主干的最大电流值,对于各个漏端点的权值是各个漏端点流出电流驱动主干的最大电流值,可以利用如下表达式(2)来进行计算:
y = Σ i = 1 p I i × y i p - - - ( 2 )
其中,yi是第i个端点的纵坐标,Ii是第i个端点的最大电流值,p是包括源点和漏点的所有端点数的总和。
在主干的y坐标确定后,主干起始点的x1坐标定义为:线网边界框(bounding-box)的左边界坐标与设定值Δx之差,即x1=左边界坐标-Δx,终点x2坐标定义为:线网边界框的右边界坐标与Δx之和,即x2=右边界坐标+Δx,其中,Δx的大小可以根据工艺设计规则或者布线区域的拥挤程度进行调整,以便决定主干长度,即决定该线网的实际布线区域的大小。
在确定垂直方向为电源驱动的主干时,通过与上述方法相似的步骤来确定主干的起始点和终点坐标。具体地,计算所有端点横坐标的加权平均值,将加权平均值作为电流驱动主干的横坐标x,其中,各个源端点的权值是各个源端点流入电流驱动主干的最大电流值,各个漏端点的权值是各个漏端点流出电流驱动主干的最大电流值。然后,将线网的规模的线网边界框的上边界与设定值Δy的差作为电流驱动主干的纵坐标的起始点坐标y1,将线网的规模的线网边界框的下边界与设定值Δy的和作为电流驱动主干的纵坐标的终点坐标y2
另外,为了得到能够规避障碍物的主干,还需要判断主干是否存在穿越障碍物或与障碍物之间的距离小于设计规则的最小距离obs_space的部分(线段),如果存在,则将该线段进行上移或者下移,以避开障碍,使得该线段移动后的距离满足设定规则的最小距离obs_space。
如图2所示是一个电流驱动的详细布线结果示例。图中所示有5个布线障碍B={B1,B2,…,B5},5个源点S={S1,S2,…,S5}和6个漏点T={T1,T2,…,T6}。根据上述的方法,本示例中选用水平主干PP’。如图2所示,为了规避障碍,主干PP’的一段需要向下移动,形成弯曲的主干结构。
对于多源、多漏的线网布线问题,采用前面所阐述的方法来构造电流驱动的主干,不仅考虑了各个线网端点的几何坐标,同时考虑流入和流出主干的各个端点的电流大小,通过两者的加权平均,利用公式(2)进行计算,确定主干的几何位置;通过流入主干的电流总和计算主干的线宽,如公式(1)所示。
步骤S130,基于构建的电流驱动主干来实现所有端点到该主干的详细布线。该步骤也可以称为电流驱动的详细布线。该步骤通过设定枝干的线宽,使之满足枝干中的最大电流约束,避免电迁移效应。
在完成主干的构造后,优选地,采用电流驱动的迷宫布线算法(也称基于变网格的迷宫布线算法)完成详细布线。电流驱动的迷宫算法,即是在进行某条分支路径探索时,用该分支满足电流密度约束的线宽进行探索,因此,是一个变线宽的布线过程。
具体地,在进行端点的详细布线时,按照满足最大电流密度约束的线宽进行分支路径搜索,使其在规避障碍的同时,找到最短的连接路径连接到主干或枝干上。
在详细布线过程中,采用一定的布线顺序,该布线顺序可以任意设定。例如:对水平主干情况下的所有源点根据各个源点的几何坐标位置从左至右依次布线,然后对所有漏点也从左至右依次完成布线;对垂直主干情况下的所有源点从上至下依次布线,然后对所有漏点从上至下依次完后布线。也可采用其他的布线顺序,如按照线宽进行排序,宽线先布,线宽相同时按照坐标从小到大顺序布线。
所有连接到主干上的分支被称为枝干(stem),如图2中的L1,L2,L3,L4,L5,L6。当对一个端点进行详细布线时,如果该端点距离某个枝干的距离比主干的距离短,则将端点连接到枝干上,如图2中的T1,T2,T3,T4,T5,然后对枝干的线宽进行调整,使之满足电流约束。
这样,根据主干的几何位置,采用基于变网格的迷宫布线算法,顺序地完成所有源点和所有漏点到主干或枝干的分支布线。在每个分支布线过程中,根据所要连接端点的最大电流密度约束、设计规则最小线宽的约束,计算该线段的线宽。
具体计算方法为:当电流约束下的线宽大于设计规则规定的最小线宽时,采用下面表达式(3)进行线宽计算,其中,Wj是该分支的线宽,ij是该分支上的电流值,Jth是金属互连线的电迁移电流密度阈值,t是金属连线的厚度;当流过该分支的电流比较小时,最大电流约束下的线宽Wj小于设计规则规定的最小线宽width,此时,取设计规则规定的最小线宽width作为该分支线网的布线线宽。
W j = i j J th × t - - - ( 3 )
步骤S140,对电流驱动主干的线宽进行优化得到布线结果。
具体地,在完成了所有端点到主干的布线以后,根据流入和流出每对相邻斯坦纳点之间的每段主干的分支电流大小,调整主干中各对相邻斯坦纳点之间的各段主干的线宽,以减小布线总面积。
更具体地,可以利用以下表达式(4)来计算相邻斯坦纳点之间的每段主干的线宽:
W uv = Σ s = 1 q i s - Σ l = 1 r i l J th × t - - - ( 4 )
其中,Wuv是该相邻两斯坦纳点u和v之间的该段主干的线宽,is是流入该段主干的分支电流值,q是流入该段主干的分支电流数,il是流出该段主干的分支电流值,r是流出该段主干的分支电流数,Jth是金属互连线的电迁移电流密度阈值,t是金属连线的厚度。
需要说明的是,由于在主干的构造时,各段分支与主干相连的线网还没有进行布线,斯坦纳点,也就是分支与主干连接点的位置还没有确定,因此,无法根据流入和流出主干电流的大小,确定主干上相邻两个斯坦纳点之间的线段宽度。所以,在构造主干时,采用的是根据所有流入主干的电流大小之和来计算和确定主干的线宽。显然,这种计算法是一种过于保守的方法,因为,没有考虑从主干上流出的分支电流。当完成所有端点到主干的分支布线后,主干上连接点的几何位置已经确定,根据各分支流入和流出主干的电流大小,可以实际计算出主干上相邻两个斯坦纳点之间的线段宽度,并依此调整主干上各段的线宽,实现主干线宽优化。采用布线后线宽调整和优化的方法,使得布线结果满足基尔霍夫电流定律,并优化布线总面积。
如图3(a)所示,是一种主干调整前的布线结果,其中分支L1、L2和L3中的电流为流入主干,主干的线宽为这三个分支线宽的总和。L4和L5分支中的电流为流出主干。当完成分支布线后,分支与主干的连接点A、B、C、D和E的几何位置已经确定,根据各分支流入、流出主干电流的大小,可以优化主干的线宽。图3(b)所示是经过主干线宽优化后的结果,其中AB段等于L1的线宽,BC段等于L1加L2的线宽,CD段等于BC段线宽减去L4的线宽,DE段等于L3的线宽。
步骤S150,输出布线成功的布线路径。
另外,需要说明的是,上述步骤优选使用C++面向对象来实现,当然,不限于该种语言,也可以是其他的语言。
综上所述,本实施例方法,构造了满足电流约束的布线拓扑结构,并且在布线过程中考虑避开障碍;采用变线宽的迷宫布线方法,实现满足不同电流大小的不同线宽布线;采用布线后线宽调整和优化的方法,使得布线结果满足基尔霍夫电流定律,并优化布线总面积。本实施例方法能够满足电流对布线线宽的约束,有效地避开布线障碍,在避免电迁移效应的情况下,优化布线总面积。
第二实施例
图4是根据本发明第二实施例的电流驱动的集成电路自动布线装置的结构示意图。
如图4所示,该装置包括:读入模块41、主干构建模块42、详细布线模块43、主干优化模块44和输出模块45。
本实施例的读入模块41、主干构建模块42、详细布线模块43、主干优化模块44和输出模块45分别执行第一实施例的步骤S110、S120、S130、S140和S150的操作。在此不再详细展开。
本领域的技术人员应该明白,上述的本发明的各模块或各步骤可以用通用的计算装置来实现,它们可以集中在单个的计算装置上,或者分布在多个计算装置所组成的网络上,可选地,它们可以用计算装置可执行的程序代码来实现,从而,可以将它们存储在存储装置中由计算装置来执行,或者将它们分别制作成各个集成电路模块,或者将它们中的多个模块或步骤制作成单个集成电路模块来实现。这样,本发明不限制于任何特定的硬件和软件结合。
虽然本发明所揭露的实施方式如上,但所述的内容只是为了便于理解本发明而采用的实施方式,并非用以限定本发明。任何本发明所属技术领域内的技术人员,在不脱离本发明所揭露的精神和范围的前提下,可以在实施的形式上及细节上作任何的修改与变化,但本发明的专利保护范围,仍须以所附的权利要求书所界定的范围为准。

Claims (9)

1.一种电流驱动的集成电路自动布线方法,其特征在于,包括:
读入步骤,读入布线信息,所述布线信息包括待布线网信息、布线设计规则和电流约束;
主干构建步骤,根据读入的布线信息构建规避障碍物的电流驱动主干;
详细布线步骤,基于构建的电流驱动主干来实现所有端点到所述电流驱动主干的电流驱动的详细布线;
主干优化步骤,对所述电流驱动主干的线宽进行优化得到布线结果;
其中,
所述布线设计规则包括芯片可布线区域大小、布线障碍物信息和工艺信息,其中,所述布线障碍物信息包括障碍物的顶点坐标和几何坐标,所述工艺信息包括最小线宽、最小线间距以及线网与障碍物的最小距离;
所述电流约束包括最大电流密度约束;
所述待布线网信息包括线网的规模、关于源端点的信息和关于漏端点的信息,其中,所述关于源端点的信息包括各个源端点的几何坐标和流入电流驱动主干的最大电流值,所述关于漏端点的信息包括各个漏端点的几何坐标和流出电流驱动主干的最大电流值。
2.根据权利要求1所述的方法,其特征在于,在所述主干构建步骤中,进一步包括,
步骤21,根据流入电流驱动主干的各个源端点的最大电流值的总和,计算所述电流驱动主干的线宽;
步骤22,根据所述障碍物的几何坐标和所有源端点和漏端点的几何坐标形成的矩形边界框,以所述矩形边界框中较长的边的方向作为所述电流驱动主干的方向;
步骤23,根据确定的所述电流驱动主干的方向,基于所有源端点和漏端点的几何坐标、所有源端点流入所述电流驱动主干的最大电流值以及所有漏端点流出所述电流驱动主干的最大电流值来确定所述电流驱动主干的起始点坐标和终点坐标;
步骤24,判断构建的电流驱动主干是否存在穿越障碍物或与障碍物之间的距离小于所述线网与障碍物的最小距离的部分,若存在,则将该部分进行移动,使得该部分的距离满足所述线网与障碍物的最小距离。
3.根据权利要求2所述的方法,其特征在于,步骤21进一步具体为,利用如下表达式计算所述电流驱动主干的线宽W:
W = Σ i = 1 m i i J t h × t
其中,ii是第i个源端点流入电流驱动主干的最大电流值,m是流入电流驱动主干的源端点的个数,Jth是金属互连线的电迁移电流密度阈值,t是金属连线的厚度。
4.根据权利要求2所述的方法,其特征在于,在步骤22中,若所述矩形边界框为正方形,则任意选择水平方向或垂直方向作为所述电流驱动主干的方向。
5.根据权利要求4所述的方法,其特征在于,若所述电流驱动主干的方向为水平方向,则步骤23进一步具体为,
计算所有端点纵坐标的加权平均值,将所述加权平均值作为所述电流驱动主干的纵坐标,其中,各个源端点的权值是各个源端点流入电流驱动主干的最大电流值,各个漏端点的权值是各个漏端点流出电流驱动主干的最大电流值;
将所述线网的规模的线网边界框的左边界与设定值的差作为所述电流驱动主干的横坐标的起始点坐标,将所述线网的规模的线网边界框的右边界与设定值的和作为所述电流驱动主干的横坐标的终点坐标。
6.根据权利要求4所述的方法,其特征在于,若所述电流驱动主干的方向为垂直方向,则步骤23进一步具体为,
计算所有端点横坐标的加权平均值,将所述加权平均值作为所述电流驱动主干的横坐标,其中,各个源端点的权值是各个源端点流入电流驱动主干的最大电流值,各个漏端点的权值是各个漏端点流出电流驱动主干的最大电流值;
将所述线网的规模的线网边界框的上边界与设定值的差作为所述电流驱动主干的纵坐标的起始点坐标,将所述线网的规模的线网边界框的下边界与设定值的和作为所述电流驱动主干的纵坐标的终点坐标。
7.根据权利要求1至6中任一项所述的方法,其特征在于,在所述详细布线步骤中,
基于构建的电流驱动主干的几何位置,采用基于变网格的迷宫布线算法,按照设定顺序实现所有端点到所述电流驱动主干或枝干的分支布线,其中,所述基于变网格的迷宫布线算法为按照满足所述最大电流密度约束的线宽进行分支路径搜索的算法。
8.根据权利要求7所述的方法,其特征在于,在所述主干优化步骤中,
根据流入和流出所述电流驱动主干的每对相邻斯坦纳点之间的每段电流驱动主干的分支电流大小,调整各对相邻斯坦纳点之间的各段电流驱动主干的线段宽度以优化所述电流驱动主干的线宽得到布线结果,其中,所述斯坦纳点为分支与所述电流驱动主干的连接点。
9.一种电流驱动的集成电路自动布线装置,其特征在于,所述装置通过执行根据权利要求1至8中任一项所述的方法来对读入的布线信息进行自动布线。
CN201310134331.XA 2013-04-18 2013-04-18 电流驱动的集成电路自动布线方法及装置 Active CN103235849B (zh)

Priority Applications (1)

Application Number Priority Date Filing Date Title
CN201310134331.XA CN103235849B (zh) 2013-04-18 2013-04-18 电流驱动的集成电路自动布线方法及装置

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
CN201310134331.XA CN103235849B (zh) 2013-04-18 2013-04-18 电流驱动的集成电路自动布线方法及装置

Publications (2)

Publication Number Publication Date
CN103235849A CN103235849A (zh) 2013-08-07
CN103235849B true CN103235849B (zh) 2016-01-20

Family

ID=48883890

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201310134331.XA Active CN103235849B (zh) 2013-04-18 2013-04-18 电流驱动的集成电路自动布线方法及装置

Country Status (1)

Country Link
CN (1) CN103235849B (zh)

Families Citing this family (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN104239600B (zh) * 2014-07-08 2017-05-03 领佰思自动化科技(上海)有限公司 基于多商品流的大规模集成电路详细布线方法
CN106980741B (zh) * 2017-05-05 2020-09-01 桂林电子科技大学 一种面向分支线缆自动布线的路径搜索方法
CN110968983B (zh) * 2019-12-30 2022-05-24 北京华大九天科技股份有限公司 一种交互式布线方法
CN114036876B (zh) * 2021-09-17 2023-03-24 清华大学 一种集成电路eda平台
CN115496030B (zh) * 2022-11-15 2023-01-24 北京大学 可处理电学和几何约束的模拟电路布线自动化方法及系统

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH05206276A (ja) * 1992-01-30 1993-08-13 Mitsubishi Electric Corp パターン生成装置
CN101957876A (zh) * 2010-09-15 2011-01-26 清华大学 考虑通孔的基于不均匀网格的多层布线方法

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH05206276A (ja) * 1992-01-30 1993-08-13 Mitsubishi Electric Corp パターン生成装置
CN101957876A (zh) * 2010-09-15 2011-01-26 清华大学 考虑通孔的基于不均匀网格的多层布线方法

Non-Patent Citations (3)

* Cited by examiner, † Cited by third party
Title
Full Chip Scalable Routing Framework Considering Congestion and Performance;Yao Hailong 等;《半导体学报》;20060731;第27卷(第7期);1201-1208 *
模拟集成电路自动布线算法研究及实现;梁军;《中国优秀博硕士学位论文全文数据库(硕士)信息科技辑》;20050715(第2005年第03期);正文第2、3章 *
集成电路互连引线电迁移的研究进展;吴丰顺 等;《半导体技术》;20040930;第29卷(第9期);正文引言部分 *

Also Published As

Publication number Publication date
CN103235849A (zh) 2013-08-07

Similar Documents

Publication Publication Date Title
CN103235849B (zh) 电流驱动的集成电路自动布线方法及装置
CN110795908B (zh) 偏差驱动的总线感知总体布线方法
CN100423012C (zh) 确定缓冲器插入的方法和系统
US6480991B1 (en) Timing-driven global placement based on geometry-aware timing budgets
US7111268B1 (en) Post-layout optimization in integrated circuit design
US10204183B2 (en) Method and system for generating multiple configurations for a solar power system
CN104915528A (zh) 集成电路的版图设计方法、版图设计系统和制作方法
CN107330563A (zh) 一种海上风电集电与输电系统联合拓扑优化方法
US20220398373A1 (en) Multi-stage fpga routing method for optimizing time division multiplexing
CN104318025B (zh) 八角结构Steiner最小树下的VLSI绕障布线器
CN109684731A (zh) 一种高效的详细布线驱动轨道分配算法
CN115859899B (zh) 一种多驱动能力的集成电路标准单元版图迁移的方法
CN115983189A (zh) 一种自适应网格的模拟集成电路版图布线方法及系统
CN117422041A (zh) 模拟芯片自动化布线模型训练方法及自动化布线方法
CN115983187A (zh) 基于多策略的考虑总线偏差的层分配方法
CN113627120B (zh) 超导集成电路布局优化方法和装置、存储介质和终端
CN114564905A (zh) 一种基于迭代禁忌搜索算法的电路示意图布局布线方法
CN113268946B (zh) 一种基于连线总和最小的芯片布局方法
CN103984828A (zh) 一种温度均衡的三维片上网络核映射方法与系统
CN109492697A (zh) 图片检测网络训练方法及图片检测网络训练装置
CN104615679A (zh) 一种基于人工免疫网络的多智能体数据挖掘方法
US8966428B2 (en) Fixed-outline floorplanning approach for mixed-size modules
CN113673195B (zh) 一种基于网络拓扑顺序的电路门尺寸优化方法
US8171444B2 (en) Layout design method, apparatus and storage medium
CN103970934B (zh) 一种集成网络器件的多电压片上网络芯片的布图规划方法

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant