CN103116121A - Circuit ageing detection method based on self-oscillation circuit - Google Patents

Circuit ageing detection method based on self-oscillation circuit Download PDF

Info

Publication number
CN103116121A
CN103116121A CN2013100221546A CN201310022154A CN103116121A CN 103116121 A CN103116121 A CN 103116121A CN 2013100221546 A CN2013100221546 A CN 2013100221546A CN 201310022154 A CN201310022154 A CN 201310022154A CN 103116121 A CN103116121 A CN 103116121A
Authority
CN
China
Prior art keywords
measured
path
self
aging
circuit
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CN2013100221546A
Other languages
Chinese (zh)
Other versions
CN103116121B (en
Inventor
梁华国
严鲁明
蒋翠云
黄正峰
易茂祥
欧阳一鸣
陈�田
刘军
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Hefei University of Technology
Original Assignee
Hefei University of Technology
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Hefei University of Technology filed Critical Hefei University of Technology
Priority to CN201310022154.6A priority Critical patent/CN103116121B/en
Publication of CN103116121A publication Critical patent/CN103116121A/en
Application granted granted Critical
Publication of CN103116121B publication Critical patent/CN103116121B/en
Expired - Fee Related legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Landscapes

  • Tests Of Electronic Circuits (AREA)

Abstract

The invention discloses a circuit ageing detection method based on a self-oscillation circuit. The method includes choosing an ageing characteristic access collection T in a to-be-detected circuit according to static timing analysis and correlation among ways, remaining logical negation with odd power on each to-be-detected way in the ageing characteristic access collection T to form the self-oscillation circuit, producing a detection vector quantity to excite the self-oscillation circuit to produce a detection level signal by means of a detection production method of a fixed-type fault, and obtaining a circuit ageing characteristic value through the fact that a counter samples the self-oscillation circuit and measuring the ageing degree of the to-be-detected circuit. The method can precisely measure the ageing degree of the circuit at low power consumption, and provides precise bases for circuit ageing failure protection.

Description

Circuit ageing testing method based on the self-oscillation loop
Technical field
The present invention relates to ic test technique and reliability engineering, especially aspect the ageing predetermination and protection of VLSI (very large scale integrated circuit).
Background technology
Developing rapidly of semiconductor technology makes performance of integrated circuits be improved.But the process decrease of integrated circuit, also making aging effect become affects the main challenge in IC reliability and serviceable life.Under the nanoscale process conditions, it is the principal element that causes aging of integrated circuit that negative bias thermal instability (NBTI), hot carrier are injected (HCI), time breakdown (TDDB) and electromagnetism migration (EM).Aging of integrated circuit can cause the increase of circuit time delay and the reduction of circuit performance, and finally causes the inefficacy of circuit.According to existing statistics, aging meeting made the operating rate of integrated circuit reduce by 20% left and right in 10 years.
International semiconductor manufacturing technology industry alliance (SEMATECH) just points out in the report of 2003: the circuit that causes take NBTI, HCI, TDDB and EM as principal element is aging will become later one of subject matter of electronic product reliability that affects in 2010.International semiconductor Technology Roadmap (ITRS) emphasizes in the annual report of 2011, and between 2011 to 2018, semiconductor product will still be faced with the severe challenge that NBTI, HCI, TDDB and EM effect are brought.
Burn-in test is by a test long-time continuous or periodic, the serviceability after analysis device is aging, thus guarantee the reliability of circuit working.Many internationally famous companies such as Intel, IBM have carried out research to the aging of integrated circuit test problem at present.Existing solution about this problem mainly contains: based on the on-line testing of aging Sensor with based on two kinds of the burn-in tests of pre-megacell.
Be that privileged site at integrated circuit inserts aging Sensor based on the on-line testing of aging Sensor, the logical signal of circuit or the electric current and voltage situation of change of device are carried out on-line monitoring.When the variation of various parameters meets the condition that presets, with regard to the aging generation that causes fault of decision circuitry.The major defect of these class methods is: aging Sensor can only the aging generation that whether has caused fault of decision circuitry, can not measure the actual degree of aging of circuit, can't submit necessary information for the anti-aging maintenance of circuit; Self in use will be subject to aging impact aging Sensor, and its reliability is difficult to guarantee; A large amount of uses of aging Sensor can increase circuit load, the increasing circuit power consumption.
It is the non-functional test path of two isomorphisms of insertion extra in circuit based on the ageing testing method of pre-megacell.Allow wherein a test path be subject to the impact of aging effect, become and be subjected to volt circuit; Another test path is not subject to the impact of aging effect, becomes with reference to the path.In the burn-in test process, the method measurement be subjected to volt circuit with reference to the frequency of operation of circuit, and weigh the ageing state of functional circuit self by variation relation more between the two.Supposed premise based on the ageing testing method of pre-megacell is that pre-megacell and functional circuit are in synchronous ageing state.But due to the ageing process of circuit and the real work load of circuit, temperature and frequency have close relationship.Therefore, in side circuit, pre-megacell and functional circuit do not keep synchronous aging state, and its test result is inaccurate.In addition, increase by two extra test paths in circuit, can cause larger circuit power consumption problem.
Summary of the invention
Testing power consumption is high in order to overcome in existing ageing testing method, the inaccurate problem of measuring accuracy, the invention provides the circuit ageing testing method based on the self-oscillation loop, utilization is tested circuit is aging by the self-oscillation loop of circuit self device configuration, and quantize der alterungs-kennwert by the aging character counter, weigh the actual ageing process of circuit.
The present invention is that the technical solution problem adopts following technical scheme:
A kind of circuit ageing testing method based on the self-oscillation loop of the present invention is characterized in carrying out as follows:
Step 1, according to correlativity between static timing analysis and path, choose the aging character way set T in circuit under test:
(1) adopt static timing tool, analyze the sequential situation of circuit under test, the selection time sequence allowance forms set of paths G to be measured lower than 20% path;
(2) analyze the aging compatibility relation between each path to be measured in described set of paths G to be measured, described set of paths G to be measured is approximately subtracted by the aging rule that approximately subtracts, the described aging rule that approximately subtracts is: for path A to be measured and path B to be measured, if the logical device of path A to be measured is the subset of path to be measured B logical device, claiming that path A to be measured is aging is contained in path B to be measured, described path A to be measured is deleted from described set of paths G to be measured, and remaining path to be measured forms aging character way set T;
Step 2, keep having odd number time logic NOT in aging character way set T on each path to be measured, form the self-oscillation loop:
The logical device that (1) will form path to be measured is summarized as three classes, is respectively: logic NOT device, maskable logical device and indefinite form logical device;
(2) logical device in every path to be measured in the aging character way set T that is produced by step 1 is analyzed, if a. have odd number logic NOT device on this bar path to be measured, it is joined end to end, if b. have even number logic NOT device on this bar path to be measured, select an indefinite form logical device, it is set to the logic NOT function, then path to be measured is joined end to end, if c. have even number logic NOT device on this bar path to be measured, and there is not the indefinite form logical device, additionally increase a phase inverter in path to be measured, again path to be measured is joined end to end,
(3) input value of Logical gate making pin on path to be measured is set in aging character way set T, forms the self-oscillation loop;
Step 3, adopt the test method of generationing of stuck-at fault, generate test vector, excite self-oscillation loop, generation test level signal:
For each self-oscillation loop that is produced by step 2 structure, adopt the test method of generationing of stuck-at fault, generates corresponding burn-in test vector, assurance self-oscillation loop can be worth X with
Figure BDA00002756252500021
Form propagate into the path tail end; Scanning element in multiplexing built-in self-test mechanism is applied to the burn-in test vector in the self-oscillation loop and goes, and excites the self-oscillation loop, produces the test level signal;
Step 4, by sample self-oscillation loop of counter, obtain the der alterungs-kennwert of circuit under test, tolerance circuit under test degree of aging:
(1) the test level signal that produces in the employing step 3 is as the trigger pip of aging character sampling; Output terminal in path to be measured, by counter, sampled in the self-oscillation loop, when the every generation in self-oscillation loop once by the saltus step of low level to high level, the value of counter adds 1, test duration is compared with the value of described counter obtained self-oscillating frequency, record described self-oscillating frequency as the der alterungs-kennwert T of circuit Aging
(2) pass through above-mentioned der alterungs-kennwert T AgingWith the standard value T that stores on sheet FreshCompare, according to the degree of aging C of formula (1) counting circuit Aging:
C Aging = T Fresh - T Aging T Aging - - - ( 1 )
Compared with the prior art, beneficial effect of the present invention is embodied in:
1, the test structure that adopts in the present invention " self-oscillation loop " is comprised of circuit inherent logic device, avoided extraneous factor and the process deviation interference to the circuit burn-in test in testing scheme in the past, make it possible to reflect accurately the actual degree of aging of circuit, have very strong authenticity;
2, the present invention adopts counter to come the sample circuit aging character, accurately the degree of aging of counting circuit; Improved in existing testing scheme can not precisive circuit degree of aging defective, for the protection of circuit ageing failure provides foundation accurately;
3, storage organization on the sweep test in built-in self-test (BIST) mechanism that the present invention is multiplexing and sheet, the cost with 20% has realized the test process aging to circuit, greatly reduces the test cost of circuit.
Description of drawings
Fig. 1 is specific embodiments figure of the present invention;
Fig. 2 is the path to be measured collection of S27 circuit;
Fig. 3 is the self-oscillation loop of P2 and P3 in S27 set of paths to be measured;
Fig. 4 is applying of burn-in test vector;
Fig. 5 is the quantification of circuit degree of aging;
Fig. 6 is a kind of aging character counter structure.
Embodiment
In conjunction with the S27 practical circuit in ISCAS ' 89benchmark, referring to Fig. 1, the present invention adopts burn-in test scheme as described below:
Step 1, according to correlativity between static timing analysis and path, the aging character way set T in selecting circuit:
(1) adopt static timing tool, analyze the sequential situation of circuit under test, the selection time sequence allowance forms set of paths G to be measured lower than 20% path.In integrated circuit, the data routing of different structure, its degree of aging are not identical yet.This programme is the every data paths in separation circuit at first, namely seeks every sequential path to be measured; According to the concrete structure of data routing, adopt static timing tool to analyze the sequential situation of every paths, choose time sequence allowance and form set of paths G to be measured lower than 20% data routing.
(2) analyze the aging compatibility relation between each path to be measured in set of paths G to be measured, set of paths G to be measured is approximately subtracted by the aging rule that approximately subtracts, the described aging rule that approximately subtracts is: for path A to be measured and path B to be measured, if the logical device of path A to be measured is the subset of path to be measured B logical device, claiming that path A to be measured is aging is contained in path B to be measured, path A to be measured is deleted from set of paths G to be measured, and remaining path to be measured has formed aging character way set T.In this rule, therefore the degree of aging that reflects path A to be measured due to can be complete to the burn-in test of path B to be measured, can omit path A burn-in test process to be measured.
Take the S27 circuit as example, Fig. 2 is for after peace treaty subtracts by analysis, the set of paths to be measured of S27 circuit, and this set has 6 aging sensitive features paths, i.e. P1 ~ P6.
Have odd number time logic NOT on each path to be measured in step 2, maintenance aging character way set T, form free-running loop:
The logical device that (1) will form path to be measured is summarized as three classes, is respectively: logic NOT device, maskable logical device and indefinite form logical device.The logic NOT device comprises phase inverter (NOT), Sheffer stroke gate (NAND), rejection gate (NOR); Such device has the function of logic NOT, can be translated into phase inverter by specific input pin setting; For example: an input pin of Sheffer stroke gate is fixed as at 1 o'clock, and the output valve of Sheffer stroke gate and the input value of another pin keep inverse state.Maskable patrol device comprised with door (AND) and or the door (OR); Can shield the normal logic function of such device by specific input pin setting, become transmission gate; For example: will be fixed as at 1 o'clock with an input pin of door, identical with the input value of the output valve of door and another pin, its logical and function conductively-closed.Indefinite form is patrolled device and is comprised XOR gate (XOR) and same or door (XNOR); Namely such device can be converted into the logic NOT device by specific input pin design, can be translated into transmission gate again; For example, if an input pin of XOR gate is fixed as 1, it becomes phase inverter, if an one input pin is fixed as 0, it becomes transmission gate.
(2) logical device in every path to be measured in the aging character way set T that is produced by step 1 is analyzed, guaranteed to have on path to be measured odd number time logic NOT.Only have when the number of times of logic NOT on a path to be measured is odd number, just can make input signal X with
Figure BDA00002756252500041
Form propagate into output terminal.Concrete analytic process is: if having odd number logic NOT device on this bar of a. path to be measured, it is joined end to end, if b. have even number logic NOT device on this bar path to be measured, select an indefinite form logical device, it is set to the logic NOT function, then path to be measured is joined end to end, if c. have even number logic NOT device on this bar path to be measured, and there is not the indefinite form logical device, additionally increase a phase inverter in path to be measured, then path to be measured is joined end to end;
(3) input value of Logical gate making pin on path to be measured is set in aging character way set T, according to the transformation rule of three class devices described in step 2 (1), the input value of Logical gate making pin is set, form the self-oscillation loop.
Fig. 3 has showed in S27 circuit set of paths to be measured (Fig. 2), the self-oscillation loop that feature path P2 and P3 form after processing through structure.
Step 3, adopt the test method of generationing of stuck-at fault, generate test vector, excite self-oscillation loop, generation test level signal:
For each self-oscillation loop that is produced by step 2 structure, adopt the test method of generationing of stuck-at fault, generates corresponding burn-in test vector, assurance self-oscillation loop can be worth X with Form propagate into the path tail end; Scanning element in multiplexing built-in self-test mechanism is applied to the burn-in test vector in the self-oscillation loop and goes, and excites the self-oscillation loop, produces the test level signal;
Because every self-oscillation loop all can sensitization, therefore, can directly adopt the test method of generationing in the stuck-at fault test, generate corresponding burn-in test vectorial.For example, after in Fig. 3, two paths to be measured generated through test, the test vector of generation was: P2 (1XX10X0), P3 (0XX001X).Fig. 4 is the schematic diagram that the burn-in test vector applies.Different being of the burn-in test of integrated circuit and stuck-at fault test, burn-in test need to keep a path to be measured to be in the self-oscillation state in a regular length test duration section, namely keeps the test vector in scan chain constant.Therefore, need to adopt clock controller, the vector of gated sweep unit applies process.
Step 4, by sample self-oscillation loop of counter, obtain the der alterungs-kennwert of circuit under test, tolerance circuit under test degree of aging:
(1) the test level signal that produces in the employing step 3 is as the trigger pip of aging character sampling; Output terminal in path to be measured, by counter, sampled in the self-oscillation loop, when the every generation in self-oscillation loop once by the saltus step of low level to high level, the value of counter adds 1, test duration is compared with the value of described counter obtained self-oscillating frequency, record described self-oscillating frequency as the der alterungs-kennwert T of circuit AgingShow as the increase of circuit time delay in the circuit level due to aging character.In order to quantize aging character, the present invention adopts counter that the self-oscillating frequency in path to be measured is sampled, as shown in Figure 5.This counter is connected to the output terminal in path to be measured.The level signal that produces on the self-oscillation loop is as the trigger pip of aging character counter.Two cycles of the every operation in self-oscillation loop produce a signal saltus step, and the aging character counter also can once sampling.Fig. 6 is a kind of implementation structure of Counter of the present invention.This counter is to adopt reducible asynchronous counter mechanism to realize, the oscillator signal of self-oscillation loop generation is connected to the clock end of counter; Before the test beginning, reseting port (CLR) is logical one, carries out counter O reset, and after beginning, reseting port keeps logical one; In test process, data terminal (J and K) signal remains logical one, and after test finished, the input signal of this port remained 0.
(2) pass through above-mentioned der alterungs-kennwert T AgingWith the standard value T that stores on sheet FreshCompare, according to the degree of aging C of formula (1) counting circuit Aging:
The present invention uses for reference the characteristics of built-in self-test (BIST), and the eigenwert in the aging front path to be measured of storage is as standard value on sheet.Test after finishing compares the standard value of storing on the der alterungs-kennwert in the aging character counter and sheet, the actual degree of aging of tolerance circuit.
Due to twice circulation of the every operation in self-oscillation loop, produce the pulsatile once saltus step, therefore, the end value of aging character counter is 1/2 of the self-oscillation loop cycle of operation.If T is the test duration, T FreshThe standard value of storing on sheet, T AgingThe der alterungs-kennwert of catching in the aging character counter, D FreshBe the time delay in the aging front path to be measured of circuit, D AgingBe the time delay in the aging rear path to be measured of circuit, C AgingCircuit degree of aging after expression quantizes.Exist following equation to set up:
T=2×T Aging×D Aging=2×T Fresh×D Fresh (1)
Can release the aging tolerance formula of circuit by formula (1):
C Aging = D Aging - D Fresh D Fresh = T Fresh - T Aging T Aging - - - ( 2 )

Claims (1)

1. circuit ageing testing method based on the self-oscillation loop is characterized in that operating as follows:
Step 1, according to correlativity between static timing analysis and path, choose the aging character way set T in circuit under test:
(1) adopt static timing tool, analyze the sequential situation of circuit under test, the selection time sequence allowance forms set of paths G to be measured lower than 20% path;
(2) analyze the aging compatibility relation between each path to be measured in described set of paths G to be measured, described set of paths G to be measured is approximately subtracted by the aging rule that approximately subtracts, the described aging rule that approximately subtracts is: for path A to be measured and path B to be measured, if the logical device of path A to be measured is the subset of path to be measured B logical device, claiming that path A to be measured is aging is contained in path B to be measured, described path A to be measured is deleted from described set of paths G to be measured, and remaining path to be measured forms aging character way set T;
Step 2, keep having odd number time logic NOT in aging character way set T on each path to be measured, form the self-oscillation loop:
The logical device that (1) will form path to be measured is summarized as three classes, is respectively: logic NOT device, maskable logical device and indefinite form logical device;
(2) logical device in every path to be measured in the aging character way set T that is produced by step 1 is analyzed, if a. have odd number logic NOT device on this bar path to be measured, it is joined end to end, if b. have even number logic NOT device on this bar path to be measured, select an indefinite form logical device, it is set to the logic NOT function, then path to be measured is joined end to end, if c. have even number logic NOT device on this bar path to be measured, and there is not the indefinite form logical device, additionally increase a phase inverter in path to be measured, again path to be measured is joined end to end,
(3) input value of Logical gate making pin on path to be measured is set in aging character way set T, forms the self-oscillation loop;
Step 3, adopt the test method of generationing of stuck-at fault, generate test vector, excite self-oscillation loop, generation test level signal:
For each self-oscillation loop that is produced by step 2 structure, adopt the test method of generationing of stuck-at fault, generates corresponding burn-in test vector, assurance self-oscillation loop can be worth X with
Figure FDA00002756252400011
Form propagate into the path tail end; Scanning element in multiplexing built-in self-test mechanism is applied to the burn-in test vector in the self-oscillation loop and goes, and excites the self-oscillation loop, produces the test level signal;
Step 4, by sample self-oscillation loop of counter, obtain the der alterungs-kennwert of circuit under test, tolerance circuit under test degree of aging:
(1) the test level signal that produces in the employing step 3 is as the trigger pip of aging character sampling; Output terminal in path to be measured, by counter, sampled in the self-oscillation loop, when the every generation in self-oscillation loop once by the saltus step of low level to high level, the value of counter adds 1, test duration is compared with the value of described counter obtained self-oscillating frequency, record described self-oscillating frequency as the der alterungs-kennwert T of circuit Aging
(2) pass through above-mentioned der alterungs-kennwert T AgingWith the standard value T that stores on sheet FreshCompare, according to the degree of aging C of formula (1) counting circuit Aging:
Figure FDA00002756252400021
CN201310022154.6A 2013-01-21 2013-01-21 Circuit ageing detection method based on self-oscillation circuit Expired - Fee Related CN103116121B (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
CN201310022154.6A CN103116121B (en) 2013-01-21 2013-01-21 Circuit ageing detection method based on self-oscillation circuit

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
CN201310022154.6A CN103116121B (en) 2013-01-21 2013-01-21 Circuit ageing detection method based on self-oscillation circuit

Publications (2)

Publication Number Publication Date
CN103116121A true CN103116121A (en) 2013-05-22
CN103116121B CN103116121B (en) 2015-03-25

Family

ID=48414546

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201310022154.6A Expired - Fee Related CN103116121B (en) 2013-01-21 2013-01-21 Circuit ageing detection method based on self-oscillation circuit

Country Status (1)

Country Link
CN (1) CN103116121B (en)

Cited By (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN104101827B (en) * 2014-06-25 2016-08-31 东南大学 A kind of process corner detection circuit based on self-timing oscillation rings
CN109406902A (en) * 2018-11-28 2019-03-01 中科曙光信息产业成都有限公司 Scan logic aging testing system
CN112444732A (en) * 2020-11-10 2021-03-05 海光信息技术股份有限公司 Chip aging state monitoring circuit and method, chip and server
TWI722948B (en) * 2020-07-28 2021-03-21 瑞昱半導體股份有限公司 Device for detecting margin of circuit operation speed
CN113391193A (en) * 2021-06-25 2021-09-14 合肥工业大学 Circuit aging test method based on BIST structure and self-oscillation ring
CN117521588A (en) * 2024-01-08 2024-02-06 深圳中安辰鸿技术有限公司 Control method and device for preventing non-uniform aging of integrated circuit and processing chip
CN117665536A (en) * 2023-11-09 2024-03-08 毫厘智能科技(江苏)有限公司 Chip aging monitoring device and method and computer readable storage medium
CN117805594A (en) * 2024-02-29 2024-04-02 北京壁仞科技开发有限公司 Process monitor and chip aging test method thereof

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20020100006A1 (en) * 2001-01-24 2002-07-25 Fujitsu Limited Delay characteristic analyzing method and delay characteristic analyzing system for a custom LSI
TW500926B (en) * 2000-04-04 2002-09-01 Advantest Corp Failure analysis method and apparatus for semiconductor integrated circuit
CN101093244A (en) * 2006-06-22 2007-12-26 夏普株式会社 Semiconductor device, semiconductor device testing method, and probe card
CN101581762A (en) * 2009-05-07 2009-11-18 北京大学 Delay fault testing method and system oriented to the application of FPGA
CN102323538A (en) * 2011-07-08 2012-01-18 哈尔滨工业大学 Design method of scanning unit based on partial scanning of improved test vector set

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW500926B (en) * 2000-04-04 2002-09-01 Advantest Corp Failure analysis method and apparatus for semiconductor integrated circuit
US20020100006A1 (en) * 2001-01-24 2002-07-25 Fujitsu Limited Delay characteristic analyzing method and delay characteristic analyzing system for a custom LSI
CN101093244A (en) * 2006-06-22 2007-12-26 夏普株式会社 Semiconductor device, semiconductor device testing method, and probe card
CN101581762A (en) * 2009-05-07 2009-11-18 北京大学 Delay fault testing method and system oriented to the application of FPGA
CN102323538A (en) * 2011-07-08 2012-01-18 哈尔滨工业大学 Design method of scanning unit based on partial scanning of improved test vector set

Non-Patent Citations (3)

* Cited by examiner, † Cited by third party
Title
HUAGUO LIANG等: "Sharing BIST with Multiple Cores for System-on-a-Chip", 《IEEE-TEST SYMPOSIUM, 2003. ATS 2003. 12TH ASIAN》 *
MRIDUL AGARWAL等: "Circuit Failure Prediction and Its Application to Transistor Aging", 《IEEE-VLSI TEST SYMPOSIUM, 2007. 25TH IEEE》 *
杨叔寅等: "基于内建自测的软错误与老化在线检测", 《计算机工程》 *

Cited By (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN104101827B (en) * 2014-06-25 2016-08-31 东南大学 A kind of process corner detection circuit based on self-timing oscillation rings
CN109406902A (en) * 2018-11-28 2019-03-01 中科曙光信息产业成都有限公司 Scan logic aging testing system
CN109406902B (en) * 2018-11-28 2021-03-19 中科曙光信息产业成都有限公司 Logic scanning aging test system
TWI722948B (en) * 2020-07-28 2021-03-21 瑞昱半導體股份有限公司 Device for detecting margin of circuit operation speed
CN112444732B (en) * 2020-11-10 2023-05-05 海光信息技术股份有限公司 Chip aging state monitoring circuit, method, chip and server
CN112444732A (en) * 2020-11-10 2021-03-05 海光信息技术股份有限公司 Chip aging state monitoring circuit and method, chip and server
CN113391193A (en) * 2021-06-25 2021-09-14 合肥工业大学 Circuit aging test method based on BIST structure and self-oscillation ring
CN113391193B (en) * 2021-06-25 2023-11-21 合肥工业大学 Circuit burn-in test method based on BIST structure and self-oscillating ring
CN117665536A (en) * 2023-11-09 2024-03-08 毫厘智能科技(江苏)有限公司 Chip aging monitoring device and method and computer readable storage medium
CN117521588A (en) * 2024-01-08 2024-02-06 深圳中安辰鸿技术有限公司 Control method and device for preventing non-uniform aging of integrated circuit and processing chip
CN117521588B (en) * 2024-01-08 2024-05-10 深圳中安辰鸿技术有限公司 Control method and device for preventing non-uniform aging of integrated circuit and processing chip
CN117805594A (en) * 2024-02-29 2024-04-02 北京壁仞科技开发有限公司 Process monitor and chip aging test method thereof
CN117805594B (en) * 2024-02-29 2024-05-07 北京壁仞科技开发有限公司 Process monitor and chip aging test method thereof

Also Published As

Publication number Publication date
CN103116121B (en) 2015-03-25

Similar Documents

Publication Publication Date Title
CN103116121B (en) Circuit ageing detection method based on self-oscillation circuit
Tehranipoor et al. Power supply noise: A survey on effects and research
US20230258719A1 (en) Integrated circuit margin measurement for structural testing
CN102592068A (en) Method for detecting malicious circuit in FPGA (field programmable gate array) chip by power consumption analysis and system thereof
US6496022B1 (en) Method and apparatus for reverse engineering integrated circuits by monitoring optical emission
US20040025123A1 (en) System and method to facilitate evaluation of integrated circuits through delay testing
Yang et al. Quick scan chain diagnosis using signal profiling
CN113391193B (en) Circuit burn-in test method based on BIST structure and self-oscillating ring
CN104635144A (en) Hardware trojan detection method independent of datum curve
Touati et al. Exploring the impact of functional test programs re-used for power-aware testing
Dahlgren et al. Latch divergency in microprocessor failure analysis
Wang et al. Radic: A standard-cell-based sensor for on-chip aging and flip-flop metastability measurements
Datta et al. A scheme for on-chip timing characterization
Wang et al. A novel architecture for on-chip path delay measurement
Holst et al. Variation-aware small delay fault diagnosis on compressed test responses
Turakhia et al. Silicon evaluation of longest path avoidance testing for small delay defects
Liang et al. A novel BIST scheme for circuit aging measurement of aerospace chips
Keller et al. An economic analysis and ROI model for nanometer test
Zhao et al. Unit-based functional iddt testing for aging degradation monitoring in a vliw processor
US8866504B2 (en) Determining local voltage in an electronic system
Min et al. IDDT testing versus IDDQ testing
Lee et al. Failing frequency signature analysis
Chen Analysis of Vmin Variability in Complex Digital Logic via Post-Silicon Profiling
Gao et al. A new post-silicon debug approach based on suspect window
CN106383306A (en) Method for testing output locking or no-output fault of digital circuit

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant
CF01 Termination of patent right due to non-payment of annual fee

Granted publication date: 20150325

Termination date: 20210121

CF01 Termination of patent right due to non-payment of annual fee