CN102768506A - 带时序约束的fpga时序驱动布局方法 - Google Patents

带时序约束的fpga时序驱动布局方法 Download PDF

Info

Publication number
CN102768506A
CN102768506A CN2012102489032A CN201210248903A CN102768506A CN 102768506 A CN102768506 A CN 102768506A CN 2012102489032 A CN2012102489032 A CN 2012102489032A CN 201210248903 A CN201210248903 A CN 201210248903A CN 102768506 A CN102768506 A CN 102768506A
Authority
CN
China
Prior art keywords
cost
layout
constraint
timing
sequential
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CN2012102489032A
Other languages
English (en)
Other versions
CN102768506B (zh
Inventor
来金梅
李华冈
王元
王键
王臻
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Fudan University
Original Assignee
Fudan University
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Fudan University filed Critical Fudan University
Priority to CN201210248903.2A priority Critical patent/CN102768506B/zh
Publication of CN102768506A publication Critical patent/CN102768506A/zh
Application granted granted Critical
Publication of CN102768506B publication Critical patent/CN102768506B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Landscapes

  • Design And Manufacture Of Integrated Circuits (AREA)

Abstract

本发明属于电子技术领域,具体为一种带有时序约束的FPGA时序驱动布局方法。本发明的布局方法中,提出了四类时序约束:时钟周期约束、输入输出延迟约束、特定时序路径约束和线网最大延迟约束。处理四类时序约束延迟的主要思想就是将这些时序约束的信息添加到时序分析这一步,进而作为最终代价函数的一部分处理。本发明能处理用户设定的时序约束,极大地增加了FPGA时序布局算法的灵活性,同时能保证布局算法的正确性。

Description

带时序约束的FPGA时序驱动布局方法
技术领域
本发明属于电子技术领域,具体涉及带有时序约束的FPGA时序驱动布局方法。
背景技术
传统的FPGA布局方法在以电路时序性能为优化目标时,采用的时序驱动算法都仅仅针对电路的最小时钟周期进行处理,以获得最高的频率。可是随着FPGA阵列的规模的不断扩大,电路设计的复杂度不断增加,设计者对于FPGA时序驱动算法的灵活性的要求也就越来越高,而以往仅仅是针对时钟周期进行优化的FPGA时序驱动布局算法难以满足现在设计者的这些要求。因此,需要提出一种新型的FPGA时序驱动布局方法来满足设计者提出的各种时序约束。
发明内容
本发明的目的在于提供一种能够灵活地满足各种时序约束要求的FPGA时序驱动布局方法。
本发明基于传统的FPGA时序驱动布局思想,在进行FPGA时序驱动布局的过程中,同时能针对设计者提出的时序约束进行特定处理。
传统的时序驱动布局思想阐述如下:首先进行时序分析提取延迟信息。采用的延迟模型是基于单元之间的距离假设的,要点是:在某一次布局中若两个交换单元的物理坐标位置分别为(x,y)和(x+Δx,y+Δy),那么这两个单元之间的延迟设定为它们之间的距离差的函数,即Delay = F(Δx,Δy)。然后将这些延迟信息反标到电路网表中,对最大延迟的那条路径进行优化,即将这条路径上的单元位置尽量布局的紧密一些以减小互连线延迟。
本发明中,为了增加设计的灵活性,提出了以下四类时序约束:时钟周期约束(见图1)、输入输出延迟约束(见图2)、特定时序路径约束和线网最大延迟约束(见图3)。处理四类时序约束延迟的主要思想就是将这些时序约束的信息添加到时序分析这一步,进而作为最终代价函数的一部分处理。下面将依次分析这四类时序约束处理的可行性。
1.时钟周期约束
首先,找出电路中所有的时序路径。时序路径的起点为寄存器输出和主输入端口,终点为主输出端以及寄存器输入端口。将用户提供的最小时钟周期约束值C与电路中各时序路径延迟Delay(i) (1≤i≤N,N是该电路中所有时序路径的总数)进行比较。若是某些时序路径延迟大于C,就将这些路径上的单元进行交换得到新的布局。设定重新布局次数的上限Tmax,若是在Tmax内,电路设计中所有的时序路径的延迟均小于C,则该约束被正确处理。若重新布局次数超过Tmax,提示该约束过于苛刻,给出约束报告信息,同时,将已有布局结果中时序性能最好的布局作为最终的布局结果。
 时钟周期约束可以看作是对传统的时序驱动布局的一种特殊处理。对于传统的时序驱动布局,它要求电路中各条时序路径的延迟优化地越小越好,因此其约束目标不是一个静态值,而是动态地减小以达到最优时序。然而,在处理最小时钟周期约束时,将这个动态的约束目标值替换成用户设定的静态值(也就是最小始终周期C),每次进行布局优化都是以设定的该静态值为约束目标,这样就可以将传统的时序驱动布局方法转换为带有最小时钟周期约束的时序驱动布局了。
2.输入输出延迟约束
首先,找出电路中所有的时序路径。然后在各条时序路径的起点处设定到达时间为输入延迟的约束值K1(在没有输入延迟约束时,该值设定为0),进行时序分析得到各条时序路径的终点的到达时间T,再将输出延迟约束值K2加到T上作为各时序路径终点的最终到达时间Tarrival, 即Tarrival= K2+T。这样,就成功将输入输出延迟约束添加到电路的各条时序路径中进行处理。
3.特定时序路径约束
找出电路中对应这些特定时序路径约束的路径。对这些路径进行时序分析,得到各条路径的延迟Delay(i) (1≤i≤n,n为特定时序路径的数目)。比较Delay(i)与C(i),C(i)为各条路径的时序约束值。若是Delay(i)大于C(i),则重新布局再次比较。当然,这里也会设定重新布局的次数Tmax,若是在Tmax内,若这些特定时序路径约束均满足,则该约束处理完成。若是重新布局次数超过Tmax,提示该约束过于苛刻,布局结果采用已有布局中时序最好的那一个。
其实,特定时序路径约束处理方法类似于最小时钟周期约束,只是该约束的约束对象只有几条特定时序路径,且每条路径的约束值不一定相同,而最小时钟周期约束的对象是电路网表中每一条时序路径,且约束值都是一样的。
4.线网延迟约束
将多终端线网等效为单源点单终点的条路径。再按照上文中处理特定时序路径延迟的方法,将每条路径的优化目标设定为最大延迟约束值。余下的处理过程和特定时序路径延迟处理方法完全一致。
下面以时钟周期约束为例解释如何处理带有时序约束的FPGA时序驱动布局算法,但不构成对本发明的限制。
在进行时钟周期约束优化时,首先找出电路的N条时序路径,设定其标号分别为P1,P2…Pn,它们对应的约束值为C。因此,对于这N条路径,就要满足P1不大于C,P2不大于C,以此类推直到Pn不大于C,然后将最大的Pi值作为代价函数因子进行处理。其实,将上面的不等式稍作改变可以得出:P1减C不大于0,P2减C不大于0以此类推直到Pn减C不大于0。这样,不等式右边对应的就是同一个固定值,而我们仍然可以将左边的最大值Pi减C作为代价函数的因子,具体表现如下面的数学表达式所示:
Figure 2012102489032100002DEST_PATH_IMAGE002
其中,Pi(1≤i≤n)表示第i条路径的延迟,C代表最小时钟周期约束的值,User Cost
Function代表了用户约束代价大小,用户约束代价最终将作为整个布局的代价函数的一部分,若是设计中没有时序约束,该项为0处理。F代表函数关系,max(P1,P2,…,Pn)表示最大的一项P,也就是说用户约束的代价大小最终取决于时序延迟最大的那条路径。
将上面的表达式进行变换处理后,得到的不等式形式上都是一致的,即不等式右边是一个固定值0(上面右边方框中的形式),这样做是为了方便处理多种时序约束对应的情况。当电路设计中包含多种时序约束时,可以将这些时序约束对应的路径单独进行考虑:假设,在进行时序分析并进行约束等效后,有K条时序约束路径分别是P1,P2, … , Pk,设定的约束值分别为C1,C2,…,Ck。由于C1,C2,…,Ck值不一定相等,因此如果仍旧采用最大的P值作为代价函数的因子显然就不合适了。那么进行约束处理时,首先将这部分约束转化为数学表达式,同样进行前面提出的不等式移项变换,将不等式的右边转换为恒定的常数,这样不等式的形式就和上面处理时钟周期约束时的得到的不等式形式完全一致:将Pi减Ci的最大值作为代价函数因子。假设Pi减Ci值最大,它的实际含义就是这条路径下的实际延迟与设定的约束值差值最小,也就是说该路径的时序约束非常严格,所以满足本路径的约束延迟后,其他所有路径的约束延迟也就都可以满足了,从而就可以处理各类约束问题了。 具体的数学表达式的转换如下所示:
Figure 497276DEST_PATH_IMAGE003
              
其中,C(i)表示第i条时序路径对应的约束值,1≤i≤n,其余因子与上文中介绍的一致。
最后,考虑将用户约束代价加入到时序驱动的代价函数。加入用户约束代价函数后,时序驱动布局算法最终的代价函数由三部分构成,首先是时序代价Timing_Cost,第二是线网代价Wiring_Cost,最后是用户约束代价User_Constraint_Cost,因此最终的代价函数的形式如下:
Figure 2012102489032100002DEST_PATH_IMAGE005
    
其中,x,y,z分别为各项的权重,且x+y+z = 1。ΔTiming_Cost 代表时序代价的变化量,Previous_Timing_Cost是上一次时序代价的大小。ΔWiring_Cost 代表线网代价的变化量,Previous_Wiring_Cost是上一次线网代价的大小。ΔUser_Constraint_Cost 代表用户约束代价函数的变化量,Previous_User_Constraint_Cost是上一次用户约束代价的大小。ΔC代表总目标函数的变化量。通过采用通过ΔTiming_Cost/Previous_Timing_Cost这种形式,以各个子代价函数的变化百分比作为整体代价变化的因子,进行归一化处理,可以防止因为某一项发生突变而引起整体代价的突变,造成总体代价函数的不合理。在添加了用户约束代价函数后,该布局算法就可以很方便地处理各类带有时序约束的问题了。
以上的过程都是针对时序约束进行处理的过程,只是整个时序驱动布局算法的一部分。对于本发明中提出的带时序约束的FPGA时序驱动布局算法的总体流程,如图4所示,具体步骤如下:
(1)随机产生一个初始布局,将各个布局单元随机放置到FPGA的具体位置;
(2)初始化退火温度T。假设共有N个布局单元,对这N个单元进行随机移动,计算这些移动造成的成本函数的标准偏差c,初始温度设定为20c ;
(3)初始化交换距离R。布局开始时,交换距离R设定为整个芯片的阵列大小;
(4)构建延迟查找矩阵。依据交换距离的大小构建延迟查找表Delay = F(Δx,Δy)得到各种交换距离下的延迟值,用于时序分析;  
(5)时序约束等效处理。如前文中时序约束的可行性分析所示,将四种时序约束进行等效处理;
(6)构建时序图并进行时序分析。根据时序路径上的单元建立时序连接图,再根据第4步中延迟矩阵,得出相邻单元的延迟,最后计算各条时序路径的延迟值,得出关键路径上的最大延迟;
(7)计算本次布局的代价,代价函数形式如前文中所示;
(8)选定输入网表中某个布局单元,在最大交换距离范围内,将其交换到另一个可行的位置,从而得到新的布局并计算该布局的代价;
(9)将第8步中得到的新代价函数与前一次代价函数比较,若代价函数值减小(ΔC为负数),说明布局质量变好了,则接受这一次的布局结果。若是代价函数变大(ΔC为正数),则以概率进行判断是否接受本次布局。具体判断标准为:首先,得到一个随机值r(0 < r < 1)。将r与e-ΔC/T (ΔC为代价函数变化量,T为退火温度值) 进行比较,若r小于e-ΔC/T  则接受布局,否则放弃这次布局结果。接受一个使布局变差的移动带来的爬坡能力,使得模拟退火避免收敛在成本函数上的局部最优解。
(10)将当前交换次数与该温度下交换次数上限值进行比较。每个温度点下的交换次数的上限值为:MaxMoves = CN4/3  ,C 为常数10,N为交换单元的总数目,这些值的选取是参考已有文献中的统计数据。
(11)更新退火温度。Tnew = λTold  ,λ为一动态变化参数,它取决于在原Told温度点时接受移动的百分比α。具体如下表1
表1 温度更新策略
接受移动百分比α λ
α> 0.96 0.5
0.8 <α≤ 0.96 0.9
0.15 <α≤ 0.8 0.95
α≤ 0.15 0.8
(12)更新交换距离。Rnew = Rold(1-0.44+α)。Rold为原交换距离,在初始阶段,交换距离R较大,为整个芯片的大小,而在退火的中间阶段逐渐减小,最后在温度较低时值为1,表示一个逻辑单元的大小。
(13)判断是否满足退火条件。具体的退火结束条件为Pd(i) < C(i), Pd(i)是该条时序路径的实际延迟,C(i)为该时序路径的约束值,0 < i < n, n为带有时序约束的路径的总数目,也就是说,当所有的约束值C(i)都满足时,退出退火过程。若是一直有某C(i)不满足,则考虑第二个退出条件:T < C*Cost/Nnets, C为经验常数0.005,Cost为当前代价的大小,T为当前温度,Nnets为电路中线网的总数。该退出条件本质上就是获取当前布局得到的最优结果。当温度对于一根线网的平均成本非常小时,任何导致成本上升的移动都不大会接受,因此退出退火过程,得到最终布局结果。 
技术效果
本发明能处理用户设定的时序约束,极大地增加了FPGA时序布局算法的灵活性,同时能保证布局算法的正确性。 
附图说明
图1为时钟周期约束模型。
图2为输入输出延迟约束模型。
图3为线网延迟约束模型。 
图4为带时序约束的FPGA时序驱动布局算法流程图。
具体实施方式
下面通过一模拟测试例子具体说明本发明方法:同时添加最小时钟周期约束以及输入输出延迟约束。
(1) 进行最小时钟周期的约束等效。时序分析,找出输入网表中的所有时序路径,并统计各条时序路径的延迟值。
(2) 进行输入输出延迟约束等效。将输入输出延迟约束的值添加到对应的时序分析路径中。对于输入延迟约束规定的时序路径,将该时序路径的起点值Tinit由原先的0改变为输入约束值C1。同样地,对于输出延迟约束规定的路径,将该时序路径的终点值由原先的Tarrival  改变为Tarrival+C2,C2为该时序路径的输出延迟约束值。
(3) 将上述两种时序约束等效处理后, 进行时序分析。若分析后的结果满足时序约束,则得到布局结果,退出布局过程。否则,将得到的关键时序路径进行优化并得到新的布局。
(4) 重复步骤(1)(2)(3),直至输入网表中的所有时序路径均满足设定的时序约束值。此时,约束都被正确处理,返回成功的布局结果。

Claims (3)

1.带时序约束的FPGA时序驱动布局方法,其特征在于具体步骤如下:
(1)随机产生一个初始布局,将各个布局单元随机放置到FPGA的具体位置;
(2)初始化退火温度T:假设共有N个布局单元,对这N个单元进行随机移动,计算这些移动造成的成本函数的标准偏差c,初始温度设定为20c ;
(3)初始化交换距离R:布局开始时,交换距离R设定为整个芯片的阵列大小;
(4)构建延迟查找矩阵:依据交换距离的大小构建延迟查找表Delay = F(Δx,Δy),得到各种交换距离下的延迟值,得到延迟矩阵,用于时序分析;  
(5)时序约束等效处理:将四种时序约束进行等效处理;
(6)构建时序图并进行时序分析:根据时序路径上的单元建立时序连接图,再根据第(4)步中延迟矩阵,得出相邻单元的延迟,最后计算各条时序路径的延迟值,得出关键路径上的最大延迟;
(7)通过代价函数计算本次布局的代价;
(8)选定输入网表中某个布局单元,在最大交换距离范围内,将其交换到另一个可行的位置,从而得到新的布局并计算该布局的代价;
(9)将第(8)步中得到的新代价函数与前一次代价函数比较,若代价函数值减小即ΔC为负数,说明布局质量变好了,则接受这一次的布局结果;若是代价函数变大即ΔC为正数,则以概率进行判断是否接受本次布局,判断标准为:首先,得到一个随机值r,0 < r < 1,将r与e-ΔC/T进行比较,ΔC为代价函数变化量,T为退火温度值,若r小于e-ΔC/T  则接受布局,否则放弃这次布局结果;
(10)将当前交换次数与该温度下交换次数上限值进行比较:每个温度点下的交换次数的上限值为:MaxMoves = CN4/3  ,C 为常数10,N为交换单元的总数目;
(11)更新退火温度:Tnew = λTold  ,λ为一动态变化参数,它取决于在原Told温度点时接受移动的百分比α,温度更新策略如下表:
接受移动百分比α λ α> 0.96 0.5 0.8 <α≤ 0.96 0.9 0.15 <α≤ 0.8 0.95 α≤ 0.15 0.8
    (12)更新交换距离:Rnew = Rold(1-0.44+α),Rold为原交换距离;
    (13)判断是否满足退火条件:退火结束条件为Pd(i) < C(i), Pd(i)是该条时序路径的实际延迟,C(i)为该时序路径的约束值,0 < i < n, n为带有时序约束的路径的总数目,当所有的约束值C(i)都满足时,退出退火过程;若是一直有某C(i)不满足,则考虑第二个退出条件:T < C*Cost/Nnets, C为经验常数0.005,Cost为当前代价的大小,T为当前温度,Nnets为电路中线网的总数;上述条件满足,退出退火过程,得到最终布局结果。
2.根据权利要求1所述的带时序约束的FPGA时序驱动布局方法,其特征在于第(5)步中所述的四种时序约束为:时钟周期约束,输入输出延迟约束,特定时序路径约束,线网延迟约束。
3.根据权利要求2所述的带时序约束的FPGA时序驱动布局方法,其特征在于第(7)步中计算本次布局代价的代价函数由三部分构成:时序代价Timing_Cost,线网代价Wiring_Cost,用户约束代价User_Constraint_Cost,最终的代价函数的形式如下:
Figure DEST_PATH_IMAGE002A
  
其中,x,y,z分别为各项的权重,且x+y+z = 1,ΔTiming_Cost 代表时序代价的变化量,Previous_Timing_Cost是上一次时序代价的大小;ΔWiring_Cost 代表线网代价的变化量,Previous_Wiring_Cost是上一次线网代价的大小;ΔUser_Constraint_Cost 代表用户约束代价函数的变化量,Previous_User_Constraint_Cost是上一次用户约束代价的大小;ΔC代表总目标函数的变化量。
CN201210248903.2A 2012-07-18 2012-07-18 带时序约束的fpga时序驱动布局方法 Active CN102768506B (zh)

Priority Applications (1)

Application Number Priority Date Filing Date Title
CN201210248903.2A CN102768506B (zh) 2012-07-18 2012-07-18 带时序约束的fpga时序驱动布局方法

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
CN201210248903.2A CN102768506B (zh) 2012-07-18 2012-07-18 带时序约束的fpga时序驱动布局方法

Publications (2)

Publication Number Publication Date
CN102768506A true CN102768506A (zh) 2012-11-07
CN102768506B CN102768506B (zh) 2015-01-07

Family

ID=47095940

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201210248903.2A Active CN102768506B (zh) 2012-07-18 2012-07-18 带时序约束的fpga时序驱动布局方法

Country Status (1)

Country Link
CN (1) CN102768506B (zh)

Cited By (17)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN104348479A (zh) * 2013-07-31 2015-02-11 京微雅格(北京)科技有限公司 现场可编程逻辑门阵列芯片布局优化方法
CN104346270A (zh) * 2013-07-23 2015-02-11 京微雅格(北京)科技有限公司 一种搜索fpga软件可运行到的最高频率的方法
CN104572579A (zh) * 2013-10-10 2015-04-29 京微雅格(北京)科技有限公司 基于fpga解析型布局求解器的多选择区域动态划分方法
CN104866678A (zh) * 2015-06-01 2015-08-26 复旦大学 Fpga时序约束布局方法
CN105930609A (zh) * 2016-05-04 2016-09-07 华中科技大学 一种用于相干解调的fpga时序优化方法
CN107562974A (zh) * 2016-07-06 2018-01-09 中电海康集团有限公司 一种用于嵌入式stt‑mram芯片工艺流程的加快时序收敛的实现方法
CN107622157A (zh) * 2017-09-15 2018-01-23 北京华大九天软件有限公司 一种追踪电路时序路径连接性的方法
CN108073740A (zh) * 2016-11-17 2018-05-25 上海复旦微电子集团股份有限公司 一种fpga详细布局的模拟退火方法
CN109460491A (zh) * 2018-10-19 2019-03-12 中山大学 基于Neo4j数据库的时序最短路径查询方法
CN111222295A (zh) * 2020-01-07 2020-06-02 广东高云半导体科技股份有限公司 基于布线资源的布局布线控制方法及装置、系统
CN111400995A (zh) * 2018-12-25 2020-07-10 上海安路信息科技有限公司 布局优化方法及布局优化系统
CN113128151A (zh) * 2021-04-21 2021-07-16 无锡中微亿芯有限公司 利用多裸片结构fpga布局结果的网表分割方法
CN113221493A (zh) * 2021-05-31 2021-08-06 福州大学 一种基于异质型fpga布局的时钟合法化方法
WO2022130230A1 (en) * 2020-12-16 2022-06-23 International Business Machines Corporation Path-based timing driven placement using iterative pseudo netlist changes
CN114861591A (zh) * 2022-07-07 2022-08-05 北京大学 一种可微分时序驱动的芯片布局优化方法
CN115862052A (zh) * 2023-02-24 2023-03-28 北京芯愿景软件技术股份有限公司 一种自动识别跨时钟电路的方法、装置、设备及存储介质
CN116522838A (zh) * 2023-06-29 2023-08-01 深圳国微晶锐技术有限公司 寻径算法的优化方法、基于多fpga系统的布线方法

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7788620B1 (en) * 2007-01-22 2010-08-31 Lattice Semiconductor Corporation Input/output placement systems and methods to reduce simultaneous switching output noise
CN101821737A (zh) * 2007-07-23 2010-09-01 新思公司 构架物理综合
US7979831B1 (en) * 2008-11-17 2011-07-12 Xilinx, Inc. Placement driven control set resynthesis
US8082532B1 (en) * 2009-02-03 2011-12-20 Xilinx, Inc. Placing complex function blocks on a programmable integrated circuit
US8205180B1 (en) * 2009-05-05 2012-06-19 Xilinx, Inc. Method of and system for generating a logic configuration for an integrated circuit

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7788620B1 (en) * 2007-01-22 2010-08-31 Lattice Semiconductor Corporation Input/output placement systems and methods to reduce simultaneous switching output noise
CN101821737A (zh) * 2007-07-23 2010-09-01 新思公司 构架物理综合
US7979831B1 (en) * 2008-11-17 2011-07-12 Xilinx, Inc. Placement driven control set resynthesis
US8082532B1 (en) * 2009-02-03 2011-12-20 Xilinx, Inc. Placing complex function blocks on a programmable integrated circuit
US8205180B1 (en) * 2009-05-05 2012-06-19 Xilinx, Inc. Method of and system for generating a logic configuration for an integrated circuit

Non-Patent Citations (2)

* Cited by examiner, † Cited by third party
Title
余建德 等: "最小环最大化的FPGA布线资源结构设计方法", 《计算机辅助设计与图形学学报》, vol. 22, no. 6, 30 June 2010 (2010-06-30), pages 934 - 942 *
杨铭 等: "LowTARP:低温交替改善的模拟退火布局算法", 《计算机辅助设计与图形学学报》, vol. 19, no. 6, 30 June 2007 (2007-06-30), pages 692 - 697 *

Cited By (29)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN104346270B (zh) * 2013-07-23 2017-12-01 京微雅格(北京)科技有限公司 一种搜索fpga软件可运行到的最高频率的方法
CN104346270A (zh) * 2013-07-23 2015-02-11 京微雅格(北京)科技有限公司 一种搜索fpga软件可运行到的最高频率的方法
CN104348479A (zh) * 2013-07-31 2015-02-11 京微雅格(北京)科技有限公司 现场可编程逻辑门阵列芯片布局优化方法
CN104572579A (zh) * 2013-10-10 2015-04-29 京微雅格(北京)科技有限公司 基于fpga解析型布局求解器的多选择区域动态划分方法
CN104572579B (zh) * 2013-10-10 2018-05-08 京微雅格(北京)科技有限公司 基于fpga解析型布局求解器的多选择区域动态划分方法
CN104866678A (zh) * 2015-06-01 2015-08-26 复旦大学 Fpga时序约束布局方法
CN104866678B (zh) * 2015-06-01 2017-12-29 复旦大学 Fpga时序约束布局方法
CN105930609A (zh) * 2016-05-04 2016-09-07 华中科技大学 一种用于相干解调的fpga时序优化方法
CN105930609B (zh) * 2016-05-04 2018-12-14 华中科技大学 一种用于相干解调的fpga时序优化方法
CN107562974A (zh) * 2016-07-06 2018-01-09 中电海康集团有限公司 一种用于嵌入式stt‑mram芯片工艺流程的加快时序收敛的实现方法
CN108073740A (zh) * 2016-11-17 2018-05-25 上海复旦微电子集团股份有限公司 一种fpga详细布局的模拟退火方法
CN108073740B (zh) * 2016-11-17 2021-05-28 上海复旦微电子集团股份有限公司 一种fpga详细布局的模拟退火方法
CN107622157A (zh) * 2017-09-15 2018-01-23 北京华大九天软件有限公司 一种追踪电路时序路径连接性的方法
CN109460491A (zh) * 2018-10-19 2019-03-12 中山大学 基于Neo4j数据库的时序最短路径查询方法
CN109460491B (zh) * 2018-10-19 2021-12-10 中山大学 基于Neo4j数据库的时序最短路径查询方法
CN111400995A (zh) * 2018-12-25 2020-07-10 上海安路信息科技有限公司 布局优化方法及布局优化系统
CN111400995B (zh) * 2018-12-25 2021-01-08 上海安路信息科技有限公司 布局优化方法及布局优化系统
CN111222295A (zh) * 2020-01-07 2020-06-02 广东高云半导体科技股份有限公司 基于布线资源的布局布线控制方法及装置、系统
GB2617941A (en) * 2020-12-16 2023-10-25 Ibm Path-based timing driven placement using iterative pseudo netlist changes
WO2022130230A1 (en) * 2020-12-16 2022-06-23 International Business Machines Corporation Path-based timing driven placement using iterative pseudo netlist changes
CN113128151A (zh) * 2021-04-21 2021-07-16 无锡中微亿芯有限公司 利用多裸片结构fpga布局结果的网表分割方法
CN113128151B (zh) * 2021-04-21 2022-02-18 无锡中微亿芯有限公司 利用多裸片结构fpga布局结果的网表分割方法
CN113221493B (zh) * 2021-05-31 2022-07-15 福州大学 一种基于异质型fpga布局的时钟合法化方法
CN113221493A (zh) * 2021-05-31 2021-08-06 福州大学 一种基于异质型fpga布局的时钟合法化方法
CN114861591A (zh) * 2022-07-07 2022-08-05 北京大学 一种可微分时序驱动的芯片布局优化方法
CN114861591B (zh) * 2022-07-07 2022-09-27 北京大学 一种可微分时序驱动的芯片布局优化方法
CN115862052A (zh) * 2023-02-24 2023-03-28 北京芯愿景软件技术股份有限公司 一种自动识别跨时钟电路的方法、装置、设备及存储介质
CN116522838A (zh) * 2023-06-29 2023-08-01 深圳国微晶锐技术有限公司 寻径算法的优化方法、基于多fpga系统的布线方法
CN116522838B (zh) * 2023-06-29 2024-01-26 深圳国微晶锐技术有限公司 寻径算法的优化方法、基于多fpga系统的布线方法

Also Published As

Publication number Publication date
CN102768506B (zh) 2015-01-07

Similar Documents

Publication Publication Date Title
CN102768506A (zh) 带时序约束的fpga时序驱动布局方法
CN102103479A (zh) 浮点运算器及浮点运算的处理方法
CN106527575B (zh) 一种基于活动相似度的触发器分组时钟门控方法
CN110783968B (zh) 一种交直流电网脆弱线路分析方法及系统
Chamola et al. Outage estimation for solar powered cellular base stations
CN103717007A (zh) 基于聚类分析与遗传算法的多吸嘴贴片机贴装工艺优化方法
US20200167516A1 (en) Method for integrated optimization of ternary fprm circuit
CN110635809A (zh) 一种基于公式语言的并行极化码bp译码器的设计方法
CN110688723A (zh) 一种时钟分布网络快速设计方法
CN107968433B (zh) 一种提高光伏逆变器利用效率的直流拓扑结构及控制方法
CN104125146B (zh) 一种业务处理方法及装置
CN109586281A (zh) 基于节点优化编号的配电网可靠性评估方法、装置与介质
CN102403965B (zh) 一种基于Volterra模型的功率放大器模拟方法
CN109033603B (zh) 基于源流路径链的智能变电站二次系统仿真方法
CN116777670A (zh) 一种配电网理论线损分层并行计算方法、系统和终端
CN107968432B (zh) 一种提高光伏电池板输出利用率的控制方法
CN106842047A (zh) 电池组串一致性的确定方法及装置
CN113505326B (zh) 一种基于http协议族的动态编码数据传输控制方法
Xu et al. Fault recovery of distribution network containing distributed generation based on heuristic search algorithm and multi-population genetic algorithm
CN105701607A (zh) 一种电力统计指标数据的质量评估方法
CN101216831B (zh) 一种知识问答方法及装置
CN111461172B (zh) 基于二维点组卷积的高光谱遥感数据的轻量级特征融合方法
CN104574199A (zh) 一种电网系统可靠性报告生成方法及系统
CN104572023B (zh) 用于变电站自动化系统的触发式的逻辑计算方法
CN114548556A (zh) 毛坯处理方法、装置、电子设备以及存储介质

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant