CN102147820A - 分解集成电路布局的方法以及计算机可读取媒体 - Google Patents

分解集成电路布局的方法以及计算机可读取媒体 Download PDF

Info

Publication number
CN102147820A
CN102147820A CN2010105464875A CN201010546487A CN102147820A CN 102147820 A CN102147820 A CN 102147820A CN 2010105464875 A CN2010105464875 A CN 2010105464875A CN 201010546487 A CN201010546487 A CN 201010546487A CN 102147820 A CN102147820 A CN 102147820A
Authority
CN
China
Prior art keywords
layout
polygon
integrated circuit
conflict
candidate
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CN2010105464875A
Other languages
English (en)
Other versions
CN102147820B (zh
Inventor
陈笔聪
池明辉
谢艮轩
王伟龙
黄文俊
刘如淦
高蔡胜
杨稳儒
张广兴
严永松
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Original Assignee
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Taiwan Semiconductor Manufacturing Co TSMC Ltd filed Critical Taiwan Semiconductor Manufacturing Co TSMC Ltd
Publication of CN102147820A publication Critical patent/CN102147820A/zh
Application granted granted Critical
Publication of CN102147820B publication Critical patent/CN102147820B/zh
Expired - Fee Related legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/30Circuit design
    • G06F30/39Circuit design at the physical level
    • G06F30/398Design verification or optimisation, e.g. using design rule check [DRC], layout versus schematics [LVS] or finite element methods [FEM]

Landscapes

  • Engineering & Computer Science (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Theoretical Computer Science (AREA)
  • Evolutionary Computation (AREA)
  • Geometry (AREA)
  • General Engineering & Computer Science (AREA)
  • General Physics & Mathematics (AREA)
  • Design And Manufacture Of Integrated Circuits (AREA)

Abstract

本发明涉及一种分解集成电路布局的方法以及储存有多个计算机指令的计算机可读取媒体。本发明的各种实施例提供确保集成电路的布局是可分开的。在一方法实施例中,在具有一布局库的一客户场所产生一布局以作为输入,其中布局库提供已确认为可分开的且能够使用的示例性布局,和可避免导致冲突的布局。本发明的实施例亦提供一实时奇循环(real-time odd cycle)检查器,其中在布局产生期间,该检查器在冲突区域和奇循环出现时,实时将它们识别出来。为了减少内存的使用,可以分开各种装置的布局,以针对冲突来检查每一单独的布局或少数布局,而不是整个应用电路的一个大的布局。一旦在客户场所准备好布局,它就被发送到制造场所分解成二光罩并流片完成(taped-out)。本发明亦有揭露其它实施例。

Description

分解集成电路布局的方法以及计算机可读取媒体
技术领域
本发明的实施例一般相关于集成电路布局。在本发明各种实施例中所提供的机制可以使布局满足双重图案技术要求,包括将布局分开成不同的光罩。
背景技术
如果集成电路的布局包括冲突循环,就不能分开成二光罩。一冲突循环可称为一奇循环,因为它是在包含奇数边缘的冲突图中的一循环。许多布局设计者(例如:半导体代工厂的客户)没有工具来检查那些冲突循环,因此可能违反分开布局的规则。因为在接合位置上的限制,许多布局方式无法修复一奇循环和相关问题。一直接的方法是将图案分开、通过接合区域连接多边形、并使用一矩阵全域求解以分解布局。然而,这种方法消耗海量存储器并增加循环时间,客户一般不参与布局制作过程,而布局制作过程对使用者并不方便,因为当切割或不切割多边形时采用不同的方法来分解布局。
发明内容
本发明的目的在于提供一种分解集成电路布局的方法以及储存有多个计算机指令的计算机可读取媒体。
本发明的实施例一般相关于集成电路布局。各种实施例提供包括双重图案技术的技术,以确保布局是可分开的。在一方法实施例中,在具有一布局库的一客户场所产生一布局以作为输入,其中布局库提供已确认为可分开的且能够使用的示例性布局,和可避免导致冲突的布局。本发明的实施例亦提供一实时奇循环(real-time odd cycle)检查器,其中在布局产生期间,该检查器在冲突区域和奇循环出现时,实时将它们识别出来。为了减少内存的使用,可以在一防护带(guard band)区域分开各种装置的布局,以针对冲突来检查每一单独的布局或少数布局,而不是整个应用电路的一个大的布局。一旦在客户场所准备好布局,它就被发送到制造场所分解成二光罩并流片完成(taped-out)。本发明亦有揭露其它实施例。
本发明一实施例提供一种分解集成电路布局的方法,其特点在于,包含下列步骤:产生多个多边形,其对应于一集成电路的多个电路;确认下列的一或多组合:一冲突多边形、一冲突边缘和一奇循环;及如果存在该奇循环,则消除该奇循环。
上述分解集成电路布局的方法,其中在产生该多个多边形时执行上述确认的步骤。
上述分解集成电路布局的方法,其中另包括:提供已被确认为可分开的该多个多边形,和被确认为不可分开的该多个多边形,以用于产生对应于该集成电路的该多个电路的该多个多边形。
上述分解集成电路布局的方法,其中另包括:若允许减少一多边形,则确认一冲突区域和一连接区域。
上述分解集成电路布局的方法,其中另包括:确认一候选接合位置;以及如果该候选接合位置不用于接合,另合并被该候选接合位置分开的多个多边形。
上述分解集成电路布局的方法,其中上述消除该奇循环的步骤是通过使用一垂直整合的分辨率增强技术来进行或修改至少一多边形来进行。
上述分解集成电路布局的方法,其中另包括:限制对应于该集成电路的该多个电路的一布局的一大小。
上述分解集成电路布局的方法,其中另包括:使用多个接合组件来确认多个候选接合位置;以及决定是否一候选接合位置可用于接合,而如果该候选接合位置不能用于接合,则合并被该候选接合位置分开的多个多边形部分。
上述分解集成电路布局的方法,其中另包括:将多个接合位置储存为一文件,其中该多个储存的接合位置在之后用于分解该集成电路的一布局。
上述分解集成电路布局的方法,其中另包括:依据一使用者要求,改变多个角落形状的一数目;以及依据一接合组件的一大小,改变多个角落形状的一数目。
本发明另一实施例提供一种储存有多个计算机指令的计算机可读取媒体,其特点在于,该多个计算机指令执行一方法,包括下列步骤:提供多个多边形,其对应于多个集成电路;使用一冲突间距,以确认至少一冲突区域;指示允许多边形切割;基于该至少有一冲突区域,确认至少一冲突边缘;如果一连接区域存在,则使用一接合组件,以确认是否该连接区域是一候选接合位置;及基于该至少一冲突边缘,确认一奇循环,及如果确认该奇循环,则解决该奇循环。
上述的储存有多个计算机指令的计算机可读取媒体,其中如果该候选接合位置不用于接合,则另合并被该候选接合位置分开的多个多边形部分。
上述的储存有多个计算机指令的计算机可读取媒体,其中如果该候选接合位置不用于接合,则另将相关于该候选接合位置的信息储存为一文件,以供未来使用。
上述的储存有多个计算机指令的计算机可读取媒体,其中该方法另使用相关于一布局单元的防护带信息,以节省内存的使用。
上述的储存有多个计算机指令的计算机可读取媒体,其中该方法另显示下列的一或多组合:可使用的一多边形,及避免显示的一多边形。
本发明的又一实施例提供一种储存有多个计算机指令的计算机可读取媒体,其特点在于,该多个计算机指令执行一方法,包括下列步骤:显示下列的一或多组合:可使用的一多边形,及避免使用的一多边形;提供多个选项,以选择是否允许多边形切割;当产生对应于多个集成电路的多个多边形时,显示该多个多边形;当至少一冲突多边形产生时,显示该至少一冲突多边形;如果该至少一冲突多边形产生,则显示连接至该至少一冲突多边形的至少一连接边缘;及如果产生一奇循环,则指示该奇循环存在。
本发明的实施例可以有一或多组合下列特征和/或优点。本发明的实施例可以修正奇循环、可针对客户提供一布局库、和相关于双重图案技术的方针和一冲突循环检查器的情况,用于双重图案技术,以避免形成一奇循环。因此,如果分开光罩是想要的或无法避免的,则它是可以分开的。基于接合组件和预接合,在本发明的各种实施例中的布局不会有奇循环,从而保证可分开。本发明的实施例通过将布局分开成个别或一组较小的电路,以节省内存使用。使用VIRET,本发明的实施例在光学接近校正(OPC,optical proximity)期间,延长接合区域的限度,以避免潜在桥接(bridging)或颈状(necking)风险,以及在许多情况下很容易地解决冲突循环。在布局分解期间,本发明的实施例可以使用保存的接合位置或VIRET文件,如果有的话。按照本发明的实施例的技术的分解方法速度较快,且使用较少的内存。本发明的各种实施例提供完整的解决方案给客户,包括在OPC之前的最后分开光罩。
附图说明
本文以附图和实施方式描述本发明的一或更多实施例的细节。通过实施方式、附图、和从申请专利范围,将可明白本发明的其它特征和优点。
图1显示依据本发明的一实施例的一流程图,其说明用以产生一布局而后将它分解成两个光罩的一种方法;
图2显示依据本发明的一实施例,已被确认为可分开且可使用的示例性布局,及可能产生冲突而避免的布局;
图3显示依据本发明的一实施例的一流程图,其说明用以产生一布局并实时检查奇循环的一种方法;
图4显示依据本发明的一实施例的示例性接合组件;
图5显示依据本发明的一实施例的预接合;
图6显示依据本发明的一实施例的防护带或分块(boxing)的示意图;
图7显示的流程图说明一种分解先前产生的布局的方法;
图8显示的示意图说明依据本发明的一实施例,具有二示例性颜色集的接合最佳化;
图9显示的示意图说明依据本发明的一实施例,具有示例性四颜色集的接合最佳化;
图10显示依据本发明的一实施例的一流程图,其说明用以最佳化接合组件或欲分割的多边形数目的一种方法;
图11显示依据本发明的一实施例合并颜色集的示意图;
图12的图形显示在本文中使用的组件。在各附图中,相同的组件参考符号表示相同的组件。
【主要组件符号说明】
210  布局
220  布局
230  布局
240  布局
250  布局
405   接合组件
410   接合组件
415   接合组件
420   接合组件
425   接合组件
430   接合组件
510   方块
510-1 位置
510-1-1  冲突区域
510-1-2  冲突区域
510-2 位置
L1    布局单元
L2    布局单元
L3    布局单元
L4    布局单元
L5    布局单元
LN    布局单元
800A  图形
800B  图形
800C  图形
800D  图形
810   直线
820   直线
830   直线
1100A  图形
1100B  图形
1100C  图形
1210   多边形
1215   冲突间距
1220   冲突区域
1225  多边形
1230  冲突边缘
1235  连接区域
1240  接合区域
co1   颜色
co2   颜色
A1-A10  颜色集
具体实施方式
申请专利范围
现使用特定文字叙述在附图中绘示的本发明的实施例或示例。然而,将可理解,其并非意在限制本发明的范围。对本发明所述的实施例所进行的任何修改和变化,及对本文所述的本发明的主要概念的进一步应用皆为熟悉本发明相关领域的技艺者在参酌本发明后所思及。组件符号重复用于通篇实施例,但即使共享相同的组件符号,并不须要将一实施例的特征套用在另一实施例。
分解布局的示例性方法实施例
图1显示的流程图说明一种分解集成电路布局为二光罩(masks)的方法实施例。在本图中,代工厂为客户提供一个解决方案,以确保布局可分为两个光罩,例如,一个光罩A和光罩B。此外,客户(例如,一客户布局设计者)在客户场所(例如,区块110至120)产生布局的一第一部分,而代工厂(例如,一代工厂布局设计者)在代工厂场所(例如,区块125至140)产生布局的一第二部分。此外,代工厂先前提供给客户的双重图案技术(DPT,double patterning technology)库、该DPT方针、冲突检查器、和防护带或分块方针,使这些工具可以用于产生布局。
在区块110,客户开始产生布局。在区块112,一DPT库和/或DPT方针可以帮助避免冲突的循环(例如,奇循环),以使最后布局能被分开成二光罩。一般来说,DPT技术库包括已被确认为可分开的示例性布局,且可用于布局期间。DPT库还包括违反布局分开原则的布局,以避免违反布局分开原则。
在区块115,客户使用先前提供的一实时奇循环检查器和修正器,以进行检查,且若适当的话,修正该奇循环。根据实施例,检查器和修正器可以是两个不同软件或整合的软件套件。或者,修正器可以是一检查器的一部分。
在区块117,为了减少内存使用,客户可以对装置进行“防护带”或“分块”,亦即,在布局单元中保持个别装置或较小群组装置的布局,以检查这些布局单元而非整个应用电路的布局的冲突。在一实施例中,如果使用防护带或分块,则储存信息(例如,以分层号码标示布局或防护带),并在之后使用。例如,在区块130的分解器读取分层号码并采取对应的行动。
在区块120,在客户的场所,客户完成布局。
在区块125,在代工厂场所,客户布局已准备好分解了。
在区块130,代工厂使用一布局分解工具,以分解布局,例如,将它分开成两个光罩。
在区块135,布局产生二光罩,例如,光罩A和光罩B。
在区块140,包括二光罩A和B的布局被用于流片完成(taping-out)。
用以避免冲突循环的布局库与方针
图2显示在一布局库的示例性布局库。这些布局包括已确定为可分开的布局,因此可用于产生用于特殊应用/电路的布局,和欲避免的会导致冲突的(例如,不可分开的)布局。例如,布局210是不可分开的,因为有由图示的二个冲突边缘的三角不等式所造成的六个冲突边缘。布局220是可分开的,因为即使存在一循环,该循环是偶数的(例如,冲突边缘数目为6)。布局230是不可分开的,因为存在一循环,且冲突边缘或冲突循环的数目为奇数(例如,冲突边缘数目为3)。布局240和250显示不同位置的距离L,及如果在一特定位置的距离L小于一预定值(例如,20nm、30nm、40nm、等等,这取决于技术)及不容许多边形切割(因为没有多边形切割,布局是不可分开的),不应该使用的布局。如果不允许多边形切割,自冲突布局(例如,布局250的U型图案)也是不可分开的。如果允许多边形切割而可以解决冲突的话,则可以使用布局240和250。在两个多边形之间的分离间距因为不同技术而变,且可因不同地点而异,包括,例如,端到端、端到途径、角到角、等等之间的分离间距。根据应用,布局库可被纳入软件套件(例如,检查器、分解器、等等)和/或可在布局产生期间存取。
实时检查和修正冲突循环
图3显示的一流程图300绘示用以实时检查一冲突循环的方法实施例,例如,图1的区块115。在一实施例中,当产生布局时,如果出现冲突多边形、冲突区域、冲突边缘、奇循环等,则一检查器软件工具(例如,“检查器”)实时将它们识别出来。例如,当二多边形之间的分离间距小于一要求或预定间距时,检查器在二冲突区域或多边形之间提供一箭头(例如,一红色箭头)。当冲突边缘形成一循环,则检查器计算边缘的数目,并在奇数时明示这些边缘,也就是说,它们形成一奇数的冲突循环。
在区块305,布局设计者产生(例如,绘制)对应于一电路、一系统、一应用、等等的一布局。在布局产生期间,形成代表电路(例如,子电路)的多边形。
在区块310,检查器套用分开规则至产生的布局。在一实施例中,可预先提供相关于布局分开的规则给检查器。一般来说,检查器决定是否想要分开(由设计者指定)和/或必须分开(例如,为满足一些规格)。根据应用,如果两个多边形之间的间距过小(例如,不到预定值),则需要分开布局。此外,可以通过边缘之间的距离或多边形的中心(可称作“节距(pitch)”)界定预定的间距。当想和/或必需(例如,允许)分开时,检查器决定是否布局是可分开的。在一实施例中,如果布局中没有奇循环的话,一布局可分开成二光罩。此外,在布局产生期间,如果设计者形成一奇循环,则检查器指示一奇循环已形成。
在区块312中,当有一冲突边缘时,检查器决定是否有必要分开。如果没必要分开,则在区块315中,检查器决定是否设计者的布局绘制完成。如果设计者的布局绘制完成,则在区块320,检查器提供输出的布局。在布局产生期间,如果完成预接合(例如,在区块330),则在区块320,检查器将预接合区域的位置储存成一个文件或一或多层,使它们在适当时可以使用。
如果在区块315中设计者还没有完成布局,则设计者继续产生布局。也就是说,本发明的方法实施例进行到区块305,而设计者继续流程图300的流程,继续绘制布局。
在区块322,检查器决定是否允许多边形切割。在一实施例中,如果多边形切割是允许的,则通过一输入机制(例如,编程参数、一图形使用者界面等),设计者可进行设定。根据应用,检查器可询问是否设计者想切割多边形,而设计者可通过一机制回应。
如果对于可分开的布局而言多边形切割是不必要的,则在区块340,检查器识别冲突多边形,并在区块345决定冲突边缘。在区块350,检查器检查奇循环。
在区块352,检查器决定是否在布局中有任何奇循环,及如果没有任何奇循环,则本方法实施例进行至区块315并从中继续。
如果在区块352,检查器判定一奇循环存在,则在区块355,检查器试图修正该奇循环。根据应用,检查器可调用一奇循环修正器,其可以是检查器的一部分、一整套整合至检查器的软件、软件的独立套件、等等。
在区块360,检查器决定是否可以使用垂直整合分辨率增强技术(VIRET,vertical integrated resolution enhancement technology)。在一实施例中,VIRET是一个软件套件,用以扩大接合区域的限度。熟知该项技艺者可明白,当一接合区域的一限度扩大,则可解决对应的冲突边缘、冲突多边形、和因此产生的奇循环。在一实施例中,这信息被储存至一文件或多个层。
在区块365中,检查器决定是否设计者想要用VIRET。在许多情况下,设计可寻求不使用VIRET,例如,不扩大接合区域的限度。如果使用VIRET,例如,已扩大限度,则检查器(重新)识别冲突边缘,而方法进行至区块345,并从它继续。
如果在区块365中,检查器决定不使用VIRET,则方法进行至区块370,设计者在此修正他/她的布局。一旦布局修改,本发明的实施例进行至区块310,并从这里继续。
但是,在区块322,如果检查器决定允许多边形切割,则在区块325检查器依据产生的多边形来识别冲突区域和连接区域。
在区块330,检查器执行预接合,以识别用以接合的候选位置。在这里,与其它功能一样,检查器可包括或可不包括内部预接合功能。在任何情况下,检查器可调用一些图案匹配或确认软件套件,以识别预接合位置。
在区块332,检查器根据预接合信息,决定是否一位置可用于接合。如果接合是可行的,检查器在区块345识别冲突边缘,而方法从这里继续进行。如果在区块332接合是不可行的,则在区块335检查器移除对应的候选接合位置。实际上,如果适当的话,检查器合并被候选接合位置分开的多边形部分,和因此重新形成一冲突区域。方法进行至区块345,并由此继续。
图3绘示实时使用冲突检查器的内容。也就是说,在布局设计者绘制布局时,检查器会运作。在这态样中,应用许多冲突检查规则(如,两多边形间最低间距要求、奇循环的违反、等等),并实时图形化显示冲突(例如,冲突边缘、冲突多边形、奇循环等)。本发明的实施例也适用于以“按钮”方式来决定是否一存在的布局是可分开的或需要修改。例如,一输入布局(例如,一先前绘制的布局)可作为检查器的一输入,再设定适当的参数,以使本方法实施例依照想要的进行,及如果在输入布局中存在一奇循环,则检查器可以提供一信息,以指示需要修改布局。在这个例子中,方法实施例经过区块305、310、312、322、325、330、332、335、345、350、352、355、360、365和370。在这个流程中,对应于可分开(例如,区块312)、多边形切割(如、区块322)、应用VIRET(例如,区块360)的参数被分别设定为是(Y)和否(N)。此外,检查器确定预接合是可行的(例如,区块332)并侦测到一奇循环(例如,区块352)。对于另一个流程,设定相对应的适当参数。
预接合
预接合(如,区块330)识别候选接合位置。预接合检查以决定是否一接合组件适合一连接区域。图4显示示例性接合组件,包括一杆状405、一方形410、一L形415、一Z形420、一T型425、一交叉型430。在图4的接合组件是作为例示之用,本发明的实施例不局限于这些组件,但适用于其它不同形状和大小的接合组件,包括,例如,如图4所示的形状的复合和组合。此外,一接合组件的位向可以是任意角度。预接合位置可以是或可以不是最后的接合位置。预接合位置可以是使用者定义和/或取决于可用的软件,其使用图案匹配来使接合组件(例如,如图4所示者)匹配至可用于接合的连接区域的图案。依据应用,软件可以移动接合组件(例如,上、下、左、右等)以匹配图案。一般而言,当一连接区域和一接合组件具有相同的形状,如果接合组件小于连接区域,则选择一位置以用于接合。基于潜在接合位置,本发明的实施例能够解决奇循环。本发明的实施例相较于使用预切割的其它方法是有益的,因为根据应用,预切割也可能导致一奇循环,而本发明各种实施例的预接合可以帮助解决奇循环。例如,当接合是可行的,本发明的实施例可以减少冲突边缘的数量、或移除一循环,从而解决奇循环(例如,从一奇循环变换至一偶循环或非循环)。
在本发明的各种实施例,当一候选接合位置不可用于接合(非接合位置),则检查器移除非接合位置。实际上,检查器合并被非接合位置分开的多边形部分。图5绘示依据本发明的一实施例的预接合。方块510绘示二示例性冲突区域510-1-1和510-1-2,已识别二连接区域(例如,位置)510-1和510-2,而二接合组件405和415(例如,基于图案匹配或确认)被用于分别与位置510-1和510-2比较。为便于说明起见,当接合组件415合入区域510-2时,接合组件405不合入区域510-1。因此,位置510-2被确认为一候选接合位置,而接合组件415的大小被用于接合。相反地,区域510-1不被认为是一候选接合位置,因此,本发明的实施例移除连接区域510-1或合并多边形510-1-1和510-1-2。方块520绘示预接合方块510的结果,其显示在作为候选接合位置的位置510-2的接合组件,而一旦位置510-1不再是一候选接合位置,则冲突区域510-1-1和510-1-2已经被合并。此外,如果多边形切割不容许,相较于包括一奇循环的方块510,方块520不包括一奇循环。
修正器
本发明的实施例提供的修正方针包括扩大多边形之间的间距,和/或应用垂直VIRET方案,以扩大接合区域限度,使接合区域尽可能的缩小。一旦限度延长(例如,扩大),则冲突间距的问题就解决了。根据情况,在二冲突多边形之间的间距仍可能无法达到最低间距需求,但如果使用VIRET的话,则被允许。因此,奇循环被解决了。在各种实施例中,VIRET适用于金属层,而VIRET占用二或多输入层。其实,VIRET可视为另一种接合,只是产生于另一层(例如,一穿孔层)。此外,如果光罩有可导致桥接和颈状风险(例如,热点)的最终轮廓,则可使用VIRET,或设计者必须拉回线端(例如,扩大间距),从而减少风险,但会增加单元大小。在一实施例中有一主要光罩和一辅助光罩,具有一对应图案或从原图案修改而成的一图案的一虚穿孔(dummy via)被置于一穿孔层(via layer),以对每一光罩提供足够的覆盖,以在经过双重镶嵌制程之后,该虚穿孔可连接二金属线。在每一光罩中在虚拟或修改的图案和金属图案之间的最低区域覆盖或重叠是取决于制程能力和/或电路性能,但是图案修改需要依照特定技术(如,制程(process))的设计规则。
防护带或分块
本发明的实施例提供防护带或分块,以减少操作一软件套件(例如,冲突检查器、修正器、分解器等)时使用的内存。本发明的实施例将特殊应用、电路等的布局大小限制为一些较小的布局单元,使得每一布局单元或较小的布局单元的组合(而不是整个电路应用的布局)可操作一软件套件。使用较小的或一组较少的布局单元有效地减少内存使用量。例如,在没有防护带的情况下,例如,使用一特定电路应用的整个布局,颜色软件在相同时间对所有多边形着色,其中以整个电路应用大小来调用系统操作软件套件的内存使用量。在某些情况,使用整个电路应用的布局,所需的内存可能高达30GB。相反地,防护带(例如,使用较小布局单元),颜色软件对较小布局单元的多边形着色,而只调用该特定较小布局单元的内存大小。布局单元的大小各不相同,取决于布局工程师的设计选择。例如,工程师可以选择布局单元,以包括具有一特定功能(例如,处理、储存、输入输出、等)的一独立电路、或具有相关功能(例如,储存和输入输出、处理和储存、处理和输入输出、等)的电路、不需要分开布局的电路、等等。或者,设计者可依据执行软件的系统的一特定内存的可用率来选择一任意大小的布局单元。图6所显示的布局600说明依据本发明的一实施例的一防护带或分块。图6所显示的特定布局600包括个别布局单元L(如,L1,L2,L3,...LN),其中每一布局单元L1,L2,L3,...LN等具有不同形状和大小。此外,一布局单元(例如,布局单元L4′)可包含一个以上的其它布局单元,包括单元L4和L5等。在一实施例中,在检查/修正冲突循环(例如,在区块115),及在区块130,分解器利用防护带信息以节省内存的使用之后,客户省去防护带信息。例如,分解器依据每一布局单元或防护带的层数目,将这单元从其它单元隔离出来,及当调用着色时,着色工具(如,软件)对一次具有相同的层数目的布局单元或被一些防护带所包覆的布局单元着色。
布局分解
图7显示的一流程图700绘示用以分解一布局的方法实施例(例如,图1的区块130)。在本图中,客户提供将由代工厂分解的一布局。为进一步说明,布局已确定为可依据本文所述的技术分开。
在区块605中,由客户提供的布局被输入布局分解软件(例如,分解器)。在一实施例中,布局是一数据库文件格式,例如,图形数据系统(GDS II,Graphic Data System),开放式作品系统交换标准(OASIS,Open Artwork System Interchange Standard)等。
在区块610,分解器套用布局分开规则至输入的布局。在区块615,分解器决定是否分开是必要的。如果分开是不必要,则分解器在区块620考虑各种方法来分配光罩,包括随机分配、基于模型的分配、等等。
在区块622中,分解器分配布局光罩至每个多边形。由于一光罩对应至一布局(例如,不同光罩、不同层),这步骤可以称为“分配层”。在区块625,分解器提供输出布局或流片完成。
但是,在区块615,如果分开是必要的,则分解器在区块630决定是否多边形切割是必要的。如果多边形切割是不必要的,则分解器在区块660识别冲突多边形,并在区块665识别冲突边缘,并从该区块665继续,解释如下。
但是,如果在区块630中多边形切割是必要的,则分解器在区块635识别冲突和连接区域。
在区块640中,分解器决定是否可使用接合位置(例如,先前在区块320通过预接合或VIRET储存至输出层的一文件或层)。如果这种接合位置不可用,则在区块645,分解器对连接区域执行预接合。然而,如果预先接合位置先前被储存至一文件,而且现在可被分解器使用,则分解器利用这些位置,并在区块650决定是否预接合一位置是可行的。如果在区块650预接合是不可行的,则在区块655,分解器合并被潜在但发现不可行的接合位置分隔的连接区域。
在区块665,分解器识别冲突边缘,和在区块670对冲突多边形/区域着色。
分解器在区块675决定是否应检查奇循环。如果没有需要检查器奇循环,则分解器在区块680决定是否操纵角落形状(例如,在一光罩的直角)是必要的和/或想要的。如果操纵角落形状是必要的和/或想要的,则分解器在区块682分析预分解的光罩和/或轮廓,以依据一接合组件选择接合位置,相较于原来布局,该接合组件将减少或保留角落形状,而不用分解。在一实施例中,分解器使用几何识别,从一个软件套件识别和/或标识预分解器布局的角落位置,并选择一接合组件的一合适大小和形状。然后分解器产生预分解光罩/布局图案,检查预分解器图案的角落数目和位置,并将这些图案与原来的布局比较。最后,根据使用者要求(可能是保留或减少角落形状的数目),分解器最佳化(例如,减少/增加)接合组件大小。在本发明的各种实施例中,前段制程(FEOL,front-end of line)一般使用较少的角落圆角(如,在一晶圆则为曲线),从而将它们相应地减少。相反地,后段制程(BEOL,back-end of line)使用较多的角落圆角,并酌情予以保留。
如果不需要处理角落形状,则在区块685,分解器最终决定接合位置,例如,考虑已被移除的候选位置等,而方法进行至区块622和区块625,以分配光罩和输出布局。
然而,如果在区块675,分解器决定应检查奇循环,则在区块690分解器决定是否在布局中有任何奇循环。如果在布局中没有任何奇循环,则方法进行至区块680,并从此处继续,如上所述。但是,如果有任何奇循环,则在区块695,分解器决定是否是可以接受奇循环。如果可以接受奇循环,则方法进行至区块680,并从此处继续,如上所述。
但是,如果在区块695,分解器决定不能接受奇循环,则分解器在区块698调用修正器以修正奇循环。另外,或除了修正奇循环,分解器发出一信息以告知客户,有一奇循环在客户所提供的布局中。
着色冲突多边形/区域
双重着色是一种用于分解一布局的方法,可使次分辨率配置分开为两个不同的光罩。在这种情况下,布局可被称为可二次着色(2-colorable)或双部分(bipartite)。依据图形理论,一图形是二部分或可二次着色,唯有在它不包含一奇循环(例如,单数或顶点或边缘)时。一循环图形是包括一奇循环的图形,或顶点的数目被连接成一个封闭的链。在本发明的各种实施例中,一冲突边缘将在一第一光罩(例如,光罩A)的一冲突多边形连接至在一第二光罩(如光罩B)的另一冲突多边形。而后本发明的实施例使用可以在奇循环存在时予以确认的一广度优先搜索(BFS,breath-first search)、一图形搜索、或一颜色软件工具,将这两个冲突多边形予以着色。如果对于解决奇循环而言多边形切割是必要的和/或想要的,本发明各种实施例使用图案匹配或确认以识别候选接合位置,和因此确认冲突区域(或冲突循环)。本发明的实施例模仿二次着色方法来检查是否有奇循环。结果,相较于其它在分开光罩之前不能检查奇循环的方法,本发明的实施例是有利的。
合并和接合最佳化
在本发明的各种实施例中,着色提供欲合并的接合处和/或欲分割的多边形的信息。一般来说,决定接合组件是基于性能(例如,为前段制程在分解的光罩保持较少的角落形状,而在后段制程保持较多的角落形状)。着色冲突区域或多边形亦提供颜色集。在一实施例中,在一颜色集中的多边形之间的颜色关是可识别出来的,因为它是依据将冲突多边形连接到另一冲突多边形的冲突边缘。此外,如果一冲突多边形以一第一指数(如“1”)着色,则其它多边形将以一第二指数(如“-1”)来着色。此外,每一颜色集可以指定一翻转指数(例如,1或-1),而且可以用来检查是否一预接合组件连接同色指数(例如,相同的颜色)的多边形。在这种情况下,被预接合组件分开的相邻冲突多边形或冲突区域可能要合并。如果预接合组件连接不同颜色(例如,不同指数)的冲突区域,则对应的多边形可被分割,而这预接合组件可用作一接合组件。事实上,所有预接合组件可以是接合组件,但多边形分割的数量可能很庞大。本发明的实施例通过接合组件合并颜色集,并使用颜色翻转,以尽量减少多边形分割的数目,从而最佳化成本。
本发明的一第一实施例是依据由下列方程式所计算出来的各个参数F:
F ( f 1 , f 2 , . . . . f N ) = 1 / 2 Σ i , j N f i f j A ij
上述方程式决定对应于具有最佳化接合组件或最少要分割的多边形数目的配置的参数F。根据如何定义合并/分割指数MC(详见下文),考虑所有可能值的最大或最小值(例如,Fmax/Fmin)。
在上面的公式中,N是颜色集的数目,i<>j。
Aij=Aij
fi是颜色集Ai的翻转指数,其最初设为1,并在对应至颜色集Ai的颜色被翻转后修改为-1。
如果已定义MC指数Aij为当fi=fj=1,应被合并(例如,潜在被合并)的接合的数目M减去对应至潜在可被分割的多边形的接合的数目CT时,则本发明的实施例决定Fmax为:
F max = Max { F ( f 1 , f 2 , . . . . f N ) = 1 / 2 Σ i , j N f i f j A i , j }
如果已定义MC指数Aij为当fi=fj=1,对应至潜在可被分割的多边形的接合的数目CT减去潜在被合并的接合的数目M时,则本发明的实施例决定Fmin为:
F min = Min { F ( f 1 , f 2 , . . . . f N ) = 1 / 2 Σ i , j N f i f j A i , j }
M和CT的总和是在两颜色集间接合组件的总数。由于矩阵求解在着色时考虑所有接合组件,内存消耗非常大。本发明的实施例简化一指数值的关系,从而减少内存消耗。
fifjAij可称为从颜色集Ai到颜色集Aj的一路径。
在下面说明中,MC指数被定义为当fi=fj=1,可被合并的接合数目减去对应至欲分割的多边形的接合数目。结果,本发明的实施例决定Fmax对应至具有最少欲分割的多边形的一颜色集配置。
图8显示的示意图说明依据本发明的一实施例,使二示例性颜色集的接合组件最少的图形800A-D。图形800A-D包括颜色集A1和A2,其具有由直线810、820和830表示的三接合区域。此外,依据该二颜色集,由F(f1和f2)所表示的参数F的四个可能的数值是F(1,1)、F(-1,1)、F(1,-1)和F(-1,-1)。为了说明,基于这两个颜色集A1和A2,在图形800A的直线820连接相同颜色co1的两个多边形,和因此合并这些多边形,而直线810和830连接不同颜色co1和co2的两个多边形,而因此它们是要被分割的。因此,合并数目M是1,分割数目CT是2,及
A12=A21=M-CT=1-2=-1
依据上述方程式(1)
F(f1,f2)=F(1,1)
=1/2((f1*f2*A12)+(f1*f2*A21))
=1/2(1*1*(-1)+1*1*(-1))=-1
图形800B来自于颜色集A1的颜色已被翻转的图形800A(例如,颜色co1变成颜色co2,而颜色co2变成颜色co1)。翻转后,f1=-1;f2仍是1;直线810连接两个相同颜色co1,其代表欲合并的多边形,而直线830线连接两个相同颜色co2,也代表欲合并的多边形。直线820连接两个不同颜色,例如,颜色集A1的颜色co2,和颜色集A2的颜色co1,代表欲分割的多边形。因此,合并数目M是2,分割数目CT是1,及
F(f1,f2)=F(-1,1)
=1/2((f1*f2*A12)+(f1*f2*A21))
=1/2((-1)*1*(-1)+(-1)*1*(-1))=1
图形800C来自于色彩集A2的颜色被翻转后的图形800A。翻转后,f1仍是1;f2=-1;直线810连接两个相同颜色co2,其代表欲合并的多边形,而直线830线连接两个相同颜色co1,也代表欲合并的多边形。直线820连接两个不同的颜色集A1的颜色co1和颜色集A2的颜色co2,代表欲分割的多边形。因此,合并数目M是2,分割数目CT是1,及
F(f1,f2)=F(1,-1)
=1/2((f1*f2*A12)+(f1*f2*A21))
=1/2(1*(-1)*(-1)+1*(-1)*(-1))=1
图形800D来自于色彩集A1和A2的颜色被翻转后的图形800A。翻转后,f1=-1;f2=-1;直线820连接两个相同颜色co2,其代表欲合并的多边形。直线810和830连接具有不同颜色co1和co2的两多边形,因此它们是欲分割者。因此,合并数目M是1,分割数目CT是2,及
F(f1,f2)=F(-1,-1)
=1/2((f1*f2*A12)+(f1*f2*A21))
=1/2((-1)*(-1)*(-1)+(-1)*(-1)*(-1))=-1
根据4个值F(1,1)=-1,F(-1,1)=1,F(1,-1)=1,和F(-1,1)=-1,因为图形800B和800C的最大值同样是1,依据本发明的实施例,接合的最佳化解决方案可以是对应于图800B或800C的配置。在这两种情况,多边形切割的数目是一(CT=1),而不是如图形800A所示的2(CT=2)。事实上,在图形800B和800C或图形800A和880D,欲合并或分割的多边形是相同的。
图9显示的图形900说明依据本发明的一实施例,具有示例性四颜色集的接合最佳化。如图形900所示,四颜色集包括A1、A2、A3与A4,及用于说明目的,其MC指数Aij显示为A12=3、A13=-5、A14=-2、A24=4、A34=2。此外,A23=A32=0,因为A2没有直接连接A3。而后本发明的实施例在F(f1,f2,f3,f4)的各种值F中,决定最大参数Fmax,其中
F(f1,f2,f3,f4)=f1,f2A12+f1,f3A13+f1,f4A14+f2,f4A24+f3,f4A34
=3f1,f2+(-5)f1,f3+(-2)f1,f4+4f2,f4+2f3,f4
可能的候选者的总数目是8,列示如下:
F1=F(1,1,1,1),如图9所示的原始配置。
F2=F(1,1,1,-1),颜色集A4的颜色已翻转的配置。
F3=F(1,1,-1,-1),颜色集A3和A4的颜色已翻转的配置。
F4=F(1,-1,-1,-1),颜色集A2、A3和A4的颜色已翻转的配置。
F5=F(1,-1,1,-1),颜色集A2和A4的颜色已翻转的配置。
F6=F(1,1,-1,1),颜色集A3的颜色已翻转的配置。
F7=F(1,-1,1,1),颜色集A2的颜色已翻转的配置。
F8=F(-1,1,1,-1),颜色集A2和A4的颜色已翻转的配置。
熟知该项技艺者应明白:
F1=F(1,1,1,1)=F(-1,-1,-1,-1)
F2=F(1,1,1,-1)=F(-1,-1,-1,1)
F3=F(1,1,-1,-1)=F(-1,-1,1,1)
F4=F(1,-1,-1,-1)=F(-1,1,1,1)
F5=F(1,-1,1,-1)=F(-1,1,-1,1)
F6=F(1,1,-1,1)=F(-1,-1,1,-1)
F7=F(1,-1,1,1)=F(-1,1,-1,-1)
F8=F(-1,1,1,-1)=F(1,-1,-1,1)
使用上述方程式(1)
F1=A12+A13+A14+A24+A34=3+(-5)+(-2)+4+2=2
F2=A12+A13-A14-A24-A34=3+(-5)-(-2)-4-2=-6
F3=A12-A13-A14-A24+A34=3-(-5)-(-2)-4+2=8
F4=-A12-A13-A14+A24+A34=-3-(-5)-(-2)+4+2=10
F5=-A12+A13-A14+A24-A34=-3+(-5)-(-2)+4-2=-4
F6=A12-A13+A14+A24-A34=3-(-5)+(-2)+4-2=8
F7=-A12+A13+A14-A24+A34=-3+(-5)+(-2)-4+2=-12
F8=-A12-A13+A14-A24-A34=-3-(-5)+(-2)-4-2=-6
依据本发明第一实施例,因为F4=10是最大值(例如,Fmax=10),对应至F4的颜色集配置是具有最少被分割多边形的最佳化解决方案。
图10显示依据本发明的一第二实施例的一流程图1000,其说明用以最佳化接合组件或欲分割的多边形数目的一种方法。这个例子说明
C i = Σ j N f i f j A ij 对颜色集Ai
在区块1005,所有Ci是以所有fi=fj=1来计算。
在区块1010,决定最小值Cmin(=Cj),而如果这Cmin>=0,则在区块1030已完成最佳化。但是,如果Cmin<0,则指数fj的在区块1015反转。在区块1020块,重新计算Ci和∑Ci
在区块1025,决定是否∑Ci已经饱和,如果是的话,则在区块1030完成最佳化。但是,如果在区块1025,决定∑Ci尚未饱和,这种方法实施例进行至区块1010并由此继续。当∑Ci的值在经过一些反复之后不再改变,它被认为是饱和。
使用图9的示例,
C1=A12+A13+A14=3+(-2)+(-5)=-4
C2=A12+A24=3+4=7
C3=A13+A34=-5+2=-3
C4=A14+A24+A34=-2+2+4=4
另外表示为,F(1,1,1,1)产生(C1,C2,C3,C4)=(-4,7,-3,4)。由于Cmin对应到C1=-4,这是一个负数,本发明的实施例翻转颜色集A1和重新计算F(-1,1,1,1)。
C1=-A12-A13-A14=-3-(-2)-(-5)=4
C2=-A12+A24=-3+4=1
C3=-A13+A34=-(-5)+2=7
C4=-A14+A24+A34=-(-2)+2+4=8
结果,F(-1,1,1,1)产生(C1,C2,C3,C4)=(4,1,7,8)。在这种情况下,因为Cmin是1,这是一个正数,接合最佳化已完成。
在本发明的各种实施例中,一接合可被分配具有一个默认值1的一加权值,和若加权值的正值愈大,则越倾向于合并与接合相关的多边形。对于负加权值而言,若加权值的绝对值愈大,则越倾向于分割多边形。
Aij可被定义为
A ij = Σ s = 1 T W s P i , s P j , s
其中
T是在该颜色集Ai和该颜色集Aj之间的共同接合组件的数目。
Ws是第S接合组件的加权值,可基于接合形状(例如,I、L、T形等)、接合组件的位置、对称图案、使用者定义的选择、等的一或一组合来分配一加权值Ws。
例如,一般来说,T和L形多边形用于分割较好,而I形多边形用于合并较好。接近一闸极的多边形用于合并较好,但不是分割。当有一对称图案和/或对称接合,如果一方是合并的,则另一方也是合并较好,但如果一方是分割的,则另一方是分割比较好。
Pi,s是当fi=fj=1时,在与第S接合组件连接的该颜色集Ai中的多边形的颜色指数。
Pj,s是当fi=fj=1时,在与第S接合组件连接的该颜色集Aj中的多边形的颜色指数。
在不同实施例中,多边形的色彩指数,如,Pi,s和/或Pi,s可由1或-1表示。不过,多边形的最终颜色是由颜色指数和翻转指数所决定。
如果当fi=fj=1时,Pi,s=Pj,s,则具有相同颜色的这两个多边形是被合并,但如果Pi,s<>Pj,s,则这具有不同颜色的这两个多边形是被分割。
在图8的示例中,T=3(由三直线810、820和830表示)。为说明起见,W1=1(直线810)、W2=10(直线820),和W3=1(直线830)。在这里,因为加权W2=10,相对于各有一加权值为1的其它直线810和830,合并与直线820相关的多边形较佳。为进一步说明,颜色co2是1,和因此颜色co1是1,和P1,1=1,P1,2=-1,及P1,3=-1,P2,1=-1,P2,2=-1,和P2,3=1。
因此
Aij=A12=(W1*P1,1*P2,1)+(W2*P1,2*P2,2)+(W3*P1,3*P2,3)
=(1*1*(-1))+(10*(-1)*(-1))+(1*(-1)*1)
=-1+10-1=8
而后,Aij可在适合的情况下用于相关公式。
根据应用,接合组件可相关于超过2个颜色集(例如,3、4、5颜色集等),本发明的各种实施例先对与最小数目的颜色集相关的多边形进行着色。例如,本发明的实施例对与2颜色集相关的多边形进行着色,而后是与3颜色集相关的多边形,再来是与4颜色集相关的多边形等等。在着色程序中,本发明的实施例酌情减少颜色集的数目。
图11显示依据本发明的一实施例合并颜色集的图形1100A、1100B和1100C。一般来说,可在颜色集数目较大而计算能力有限时(例如,依据本发明的实施例,可能需要太长的时间让计算机最佳化接合等),合并颜色集,以减少/最小化颜色集的数目。
图形1100A显示示例性颜色集A1至A7。颜色集A1到A7是“末端”颜色集,因为它们只与一其它颜色作用。例如,颜色集A1只与一颜色集A2作用,而颜色集A7只与一颜色集A6作用。本发明的实施例合并一末端颜色集与和该末端颜色集作用的颜色集,例如,合并颜色集A1与颜色集A2及合并颜色集A7和颜色集A6
由图形1100A,在末端颜色集A1合并颜色集A2成为颜色集A8,和颜色集A7合并颜色集A6成为颜色集A9之后,产生图形1100B。基于图形1100B,新的颜色集A8实际上是一末端颜色集,因为它只与一颜色集A3作用。本发明的实施例合并颜色集A8与颜色集A3
由图形1100B,末端颜色集A8合并颜色集A3成为颜色集A10,而其它颜色集A4、A5、A9不变,产生图形1100C。与包括7颜色集的图形1100A相较,本发明的实施例在图形1100B减少5颜色集,且在在图形1100C减少4颜色集。
背景信息和术语
图12的图形显示在本文中使用的组件。在此提供背景信息以易于了解本发明,其中使用了下列术语及其定义,而它们为熟知该项技艺者所普遍认知和理解。
多边形1210和1225:代表一电路,并包括边缘和顶点。
冲突间距1215:在多边形边缘和/或顶点产生的一区域,以决定冲突(例如,间距/节距冲突)。
冲突区域1220:在多边形和冲突间距之间的重叠。
冲突多边形1225:违反间距和/或分开规则的多边形(例如,被小于设定值的间距分开的两个多边形)。
冲突边缘1230:连接一对冲突多边形或区域的一段。
连接区域1235:一多边形排除冲突区域的其余部分。
接合区域1240:来自分开冲突区域的连接区域的一区域。图12未绘示由多边形1220产生的冲突间距、冲突区域、连接区域和接合区域,以避免扰乱附图。
以上本发明的方法实施例可以用软件或由一台计算机执行的指令来实现。这些指令可储存在一或多计算机可读媒体和/或通过一或多计算机可读媒体执行,该一或多计算机可读媒体执行是指任何可被一计算机读取信息的媒体(例如,一CD-ROM、一DVD-ROM、光学媒体等)。例如,指令可以是储存在与计算机系统相接的一CD-ROM的可执行二进制形式。而后计算机系统加载这些指令至RAMs、执行一些指令、并通过一通讯界面(例如,调制解调器)及连接至网络的一电话线、因特网等)发送一些指令、及将数据储存在储存装置(例如,内存等等)。计算机系统亦可在它的屏幕上显示数据(例如,冲突多边形、冲突边缘、奇循环、欲使用/避免的示例性多边形等等)。
本说明书已描述本发明的许多实施例。虽然如此,应明白可能进行各种修改而不背离本发明的精神和范围。例如,本发明的实施例可用于多重曝光系统,其中在双重图案化之后可使用一修剪光罩来形成端对端图案。本说明书上述内容描述的方法实施例是用以决定最小数目的需分割多边形。一般而言,第一实施例是用于较少数目的颜色集,而图10的第二实施例是用于较大数目的颜色集,但本发明的实施例并不局限于此。可依据其它因素,包括可用的计算机能力和/或是否可减少颜色集的数目,来选择一实施例(例如,如图11所示)。例如,当计算机能力受限,较小颜色集仍可能花费大量时间,则流程图1000的实施例可用于这种状况。相反地,如果可用的计算机能力是强大的,则仍可对大量数目的颜色集使用第一实施例。此外,颜色集的初始数目可以是多的,但它可被减少,并视为一较少数目的颜色集等等。以上方法实施例说明示例性步骤,但它们不一定必须依照上述顺序执行。依据本发明实施例的精神和范围,步骤可能会增加、替换、改变次序和/或取消。
本发明每项权利要求构成一单独实施例,而结合不同权利要求和/或不同实施例的实施例是落入本文的范畴,且对那些阅读过本文的熟知该项技艺者而言将是显而易见的。因此,本发明的范围应参照所列权利要求,以及这些权利要求适用的均等物的完整范畴来确定。

Claims (16)

1.一种分解集成电路布局的方法,其特征在于,包含下列步骤:
产生多个多边形,其对应于一集成电路的多个电路;
确认下列的一或多组合:一冲突多边形、一冲突边缘和一奇循环;及
如果存在该奇循环,则消除该奇循环。
2.根据权利要求1所述分解集成电路布局的方法,其特征在于,在产生该多个多边形时执行上述确认的步骤。
3.根据权利要求1所述分解集成电路布局的方法,其特征在于,另包括:提供已被确认为可分开的该多个多边形,和被确认为不可分开的该多个多边形,以用于产生对应于该集成电路的该多个电路的该多个多边形。
4.根据权利要求1所述分解集成电路布局的方法,其特征在于,另包括:若允许减少一多边形,则确认一冲突区域和一连接区域。
5.根据权利要求4所述分解集成电路布局的方法,其特征在于,另包括:
确认一候选接合位置;以及
如果该候选接合位置不用于接合,另合并被该候选接合位置分开的多个多边形。
6.根据权利要求1所述分解集成电路布局的方法,其特征在于,上述消除该奇循环的步骤是通过使用一垂直整合的分辨率增强技术来进行或修改至少一多边形来进行。
7.根据权利要求1所述分解集成电路布局的方法,其特征在于,另包括:限制对应于该集成电路的该多个电路的一布局的一大小。
8.根据权利要求1所述分解集成电路布局的方法,其特征在于,另包括:
使用多个接合组件来确认多个候选接合位置;以及
决定是否一候选接合位置可用于接合,而如果该候选接合位置不能用于接合,则合并被该候选接合位置分开的多个多边形部分。
9.根据权利要求8所述分解集成电路布局的方法,其特征在于,另包括:将多个接合位置储存为一文件,其中该多个储存的接合位置在之后用于分解该集成电路的一布局。
10.根据权利要求1所述分解集成电路布局的方法,其特征在于,另包括:
依据一使用者要求,改变多个角落形状的一数目;以及
依据一接合组件的一大小,改变多个角落形状的一数目。
11.一种储存有多个计算机指令的计算机可读取媒体,其特征在于,该多个计算机指令执行一方法,包括下列步骤:
提供多个多边形,其对应于多个集成电路;
使用一冲突间距,以确认至少一冲突区域;
指示允许多边形切割;
基于该至少有一冲突区域,确认至少一冲突边缘;
如果一连接区域存在,则使用一接合组件,以确认是否该连接区域是一候选接合位置;及
基于该至少一冲突边缘,确认一奇循环,及如果确认该奇循环,则解决该奇循环。
12.根据权利要求11所述的储存有多个计算机指令的计算机可读取媒体,其特征在于,如果该候选接合位置不用于接合,则另合并被该候选接合位置分开的多个多边形部分。
13.根据权利要求11所述的储存有多个计算机指令的计算机可读取媒体,其特征在于,如果该候选接合位置不用于接合,则另将相关于该候选接合位置的信息储存为一文件,以供未来使用。
14.根据权利要求11所述的储存有多个计算机指令的计算机可读取媒体,其特征在于,该方法另使用相关于一布局单元的防护带信息,以节省内存的使用。
15.根据权利要求11所述的储存有多个计算机指令的计算机可读取媒体,其特征在于,该方法另显示下列的一或多组合:可使用的一多边形,及避免显示的一多边形。
16.一种储存有多个计算机指令的计算机可读取媒体,其特征在于,该多个计算机指令执行一方法,包括下列步骤:
显示下列的一或多组合:可使用的一多边形,及避免使用的一多边形;
提供多个选项,以选择是否允许多边形切割;
当产生对应于多个集成电路的多个多边形时,显示该多个多边形;
当至少一冲突多边形产生时,显示该至少一冲突多边形;
如果该至少一冲突多边形产生,则显示连接至该至少一冲突多边形的至少一连接边缘;及
如果产生一奇循环,则指示该奇循环存在。
CN2010105464875A 2010-02-09 2010-11-12 分解集成电路布局的方法以及计算机可读取媒体 Expired - Fee Related CN102147820B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US12/702,591 US8631379B2 (en) 2010-02-09 2010-02-09 Decomposing integrated circuit layout
US12/702,591 2010-02-09

Publications (2)

Publication Number Publication Date
CN102147820A true CN102147820A (zh) 2011-08-10
CN102147820B CN102147820B (zh) 2013-01-09

Family

ID=44354646

Family Applications (2)

Application Number Title Priority Date Filing Date
CN2010105464875A Expired - Fee Related CN102147820B (zh) 2010-02-09 2010-11-12 分解集成电路布局的方法以及计算机可读取媒体
CN2010105464983A Expired - Fee Related CN102147821B (zh) 2010-02-09 2010-11-12 分解集成电路布局的方法

Family Applications After (1)

Application Number Title Priority Date Filing Date
CN2010105464983A Expired - Fee Related CN102147821B (zh) 2010-02-09 2010-11-12 分解集成电路布局的方法

Country Status (2)

Country Link
US (2) US8631379B2 (zh)
CN (2) CN102147820B (zh)

Cited By (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN102663170A (zh) * 2012-03-21 2012-09-12 领佰思自动化科技(上海)有限公司 集成电路版图设计最小通孔数目设计规则的检查方法
CN104077429A (zh) * 2013-03-14 2014-10-01 台湾积体电路制造股份有限公司 在多重图案化光刻期间用于冲突检测的eda工具和方法
CN106935584A (zh) * 2015-12-30 2017-07-07 台湾积体电路制造股份有限公司 制造集成电路的方法
CN107025320A (zh) * 2015-11-25 2017-08-08 新思科技有限公司 考虑复杂着色规则的多重图案化布局分解
CN107924135A (zh) * 2015-08-21 2018-04-17 高通股份有限公司 用于指派集成电路布局中的群约束的系统和方法
TWI641962B (zh) * 2017-06-29 2018-11-21 台灣積體電路製造股份有限公司 積體電路佈局的製作方法及非暫態機器可讀取媒介
TWI667532B (zh) * 2017-04-28 2019-08-01 荷蘭商Asml荷蘭公司 最佳化用於製造產品單元之製程序列
TWI786131B (zh) * 2017-06-14 2022-12-11 南韓商三星電子股份有限公司 積體電路以及產生積體電路的佈局的電腦實施方法
CN116342625A (zh) * 2023-03-30 2023-06-27 广东思沃激光科技有限公司 用于LDI曝光设备的gds图形分割方法

Families Citing this family (70)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8365102B2 (en) 2010-05-27 2013-01-29 Taiwan Semiconductor Manufacturing Company, Ltd. Method for checking and fixing double-patterning layout
US8381139B2 (en) * 2010-11-30 2013-02-19 Taiwan Semiconductor Manufacturing Company, Ltd. Method for metal correlated via split for double patterning
US8775977B2 (en) * 2011-02-15 2014-07-08 Taiwan Semiconductor Manufacturing Co., Ltd Decomposition and marking of semiconductor device design layout in double patterning lithography
US8359556B1 (en) * 2011-06-29 2013-01-22 International Business Machines Corporation Resolving double patterning conflicts
US8473874B1 (en) 2011-08-22 2013-06-25 Cadence Design Systems, Inc. Method and apparatus for automatically fixing double patterning loop violations
US8516402B1 (en) * 2011-08-22 2013-08-20 Cadence Design Systems, Inc. Method and apparatus for automatically fixing double patterning loop violations
US8434033B2 (en) 2011-09-01 2013-04-30 International Business Machines Corporation Mask assignment for multiple patterning lithography
US8719737B1 (en) * 2012-06-29 2014-05-06 Cadence Design Systems, Inc. Method and apparatus for identifying double patterning loop violations
US8578304B1 (en) 2012-07-26 2013-11-05 International Business Machines Corporation Implementing mulitple mask lithography timing variation mitigation
US8689151B1 (en) 2012-09-12 2014-04-01 International Business Machines Corporation Pitch-aware multi-patterning lithography
US8584060B1 (en) * 2012-11-16 2013-11-12 International Business Machines Corporation Block mask decomposition for mitigating corner rounding
US9165104B1 (en) 2012-12-21 2015-10-20 Cadence Design Systems, Inc. Method and apparatus for identifying double patterning color-seeding violations
US8775983B1 (en) 2012-12-21 2014-07-08 Cadence Design Systems, Inc. Layout fixing guideline system for double patterning odd cycle violations
US8661371B1 (en) 2012-12-21 2014-02-25 Cadence Design Systems, Inc. Method and apparatus for fixing double patterning color-seeding violations
US8949747B1 (en) 2012-12-21 2015-02-03 Cadence Design Systems, Inc. Double patterning coloring with color balancing
US8782575B1 (en) * 2013-01-23 2014-07-15 Taiwan Semiconductor Manufacturing Company Limited Conflict detection for self-aligned multiple patterning compliance
US8910094B2 (en) * 2013-02-06 2014-12-09 Globalfoundries Inc. Retargeting semiconductor device shapes for multiple patterning processes
US10354886B2 (en) 2013-02-22 2019-07-16 Synopsys, Inc. Hybrid evolutionary algorithm for triple-patterning
JP6598421B2 (ja) * 2013-02-22 2019-10-30 キヤノン株式会社 マスクパターンの決定方法、プログラム、情報処理装置
US8806393B1 (en) * 2013-03-25 2014-08-12 International Business Machines Corporation Generation of design shapes for confining stitch-induced via structures
US9601367B2 (en) 2013-03-25 2017-03-21 International Business Machines Corporation Interconnect level structures for confining stitch-induced via structures
KR102055206B1 (ko) 2013-07-08 2019-12-12 삼성전자주식회사 레이아웃 분할 방법 및 이를 이용한 반도체 소자 제조 방법
US8959460B1 (en) * 2013-07-31 2015-02-17 Taiwan Semiconductor Manufacturing Company, Ltd. Layout decomposition method
US9384319B2 (en) 2013-08-15 2016-07-05 Synopsys, Inc. Detecting and displaying multi-patterning fix guidance
KR102253129B1 (ko) * 2014-02-07 2021-05-18 삼성전자주식회사 더블 패터닝 공정을 위한 디자인 레이아웃 디콤포지션 방법
US9747407B2 (en) 2014-02-20 2017-08-29 Synopsys, Inc. Categorized stitching guidance for triple-patterning technology
US9053288B1 (en) * 2014-03-31 2015-06-09 Taiwan Semiconductor Manufacturing Company, Ltd. Layout checking system for multiple-patterning group assignment constraints
CN105095531B (zh) 2014-04-15 2018-07-20 台湾积体电路制造股份有限公司 器件分析的实现方法和装置
US9773076B2 (en) 2014-05-19 2017-09-26 Taiwan Semiconductor Manufacturing Company, Ltd. Conductive lines in circuits
US9454631B2 (en) 2014-05-23 2016-09-27 International Business Machines Corporation Stitch-derived via structures and methods of generating the same
US9710592B2 (en) 2014-05-23 2017-07-18 International Business Machines Corporation Multiple-depth trench interconnect technology at advanced semiconductor nodes
CN105224708B (zh) 2014-07-03 2019-01-18 台湾积体电路制造股份有限公司 集成电路中网路的确定方法和装置
US9740092B2 (en) * 2014-08-25 2017-08-22 Globalfoundries Inc. Model-based generation of dummy features
US9431381B2 (en) 2014-09-29 2016-08-30 Taiwan Semiconductor Manufacturing Company, Ltd. System and method of processing cutting layout and example switching circuit
US9443055B2 (en) * 2014-12-29 2016-09-13 GlobalFoundries, Inc. Methods for retargeting circuit design layouts and for fabricating semiconductor devices using retargeted layouts
US9471738B2 (en) 2015-02-05 2016-10-18 Taiwan Semiconductor Manufacturing Company, Ltd. Method and apparatus for capacitance extraction
US9892224B2 (en) 2015-02-12 2018-02-13 Taiwan Semiconductor Manufacturing Company, Ltd. Method of forming masks
US10055531B2 (en) * 2015-02-13 2018-08-21 Taiwan Semiconductor Manufacturing Company Ltd. Layout checking method for advanced double patterning photolithography with multiple spacing criteria
KR102257031B1 (ko) * 2015-03-13 2021-05-27 삼성전자주식회사 반도체 집적 회로 설계 방법
US9835680B2 (en) 2015-03-16 2017-12-05 Taiwan Semiconductor Manufacturing Company, Ltd. Method, device and computer program product for circuit testing
US9703911B2 (en) 2015-04-30 2017-07-11 Taiwan Semiconductor Manufacturing Company, Ltd. Method for library having base cell and VT-related
US9672320B2 (en) 2015-06-30 2017-06-06 Taiwan Semiconductor Manufacturing Company, Ltd. Method for integrated circuit manufacturing
US10372037B2 (en) 2015-10-30 2019-08-06 Synopsys, Inc. Constructing fill shapes for double-patterning technology
US10268791B2 (en) * 2015-12-11 2019-04-23 Taiwan Semiconductor Manufacturing Co., Ltd. System and method for multi-patterning
US10311195B2 (en) 2016-01-15 2019-06-04 Synopsys, Inc. Incremental multi-patterning validation
US10460070B2 (en) 2016-01-28 2019-10-29 Taiwan Semiconductor Manufacturing Co., Ltd. Optimized electromigration analysis
US9886544B2 (en) 2016-02-23 2018-02-06 Taiwan Semiconductor Manufacturing Co., Ltd. Layout checking system and method
US10339249B2 (en) * 2016-03-29 2019-07-02 Synopsys, Inc. Using color pattern assigned to shapes for custom layout of integrated circuit (IC) designs
US10108764B2 (en) 2016-04-15 2018-10-23 Taiwan Semiconductor Manufacturing Company, Ltd. Power consumption estimation method for system on chip (SOC), system for implementing the method
US10521545B2 (en) 2016-04-15 2019-12-31 Taiwan Semiconductor Manufacturing Co., Ltd. Placement constraint method for multiple patterning of cell-based chip design
US10521538B2 (en) 2016-04-27 2019-12-31 Taiwan Semiconductor Manufacturing Co., Ltd Method and system for integrated circuit design with on-chip variation and spatial correlation
US10089433B2 (en) 2016-05-03 2018-10-02 Taiwan Semiconductor Manufacturing Co., Ltd. Method for triple-patterning friendly placement
US10162929B2 (en) 2016-05-03 2018-12-25 Taiwan Semiconductor Manufacturing Co., Ltd. Systems and methods for using multiple libraries with different cell pre-coloring
US9940424B2 (en) 2016-05-25 2018-04-10 Taiwan Semiconductor Manufacturing Co., Ltd. Systems and methods for minimum-implant-area aware detailed placement
US10396063B2 (en) 2016-05-31 2019-08-27 Taiwan Semiconductor Manufacturing Company Ltd. Circuit with combined cells and method for manufacturing the same
US10283496B2 (en) 2016-06-30 2019-05-07 Taiwan Semiconductor Manufacturing Co., Ltd. Integrated circuit filler and method thereof
US10151971B2 (en) 2016-07-01 2018-12-11 Taiwan Semiconductor Manufacturing Company, Ltd. System for and method of seeding an optical proximity correction (OPC) process
US9953122B2 (en) 2016-07-14 2018-04-24 Taiwan Semiconductor Manufacturing Company Ltd. Integrated circuit design method and associated non-transitory computer-readable medium
US10509882B2 (en) 2016-08-09 2019-12-17 Taiwan Semiconductor Manufacturing Co., Ltd. Systems and methods for cell abutment
US10430544B2 (en) * 2016-09-02 2019-10-01 Taiwan Semiconductor Manufacturing Co., Ltd. Multi-patterning graph reduction and checking flow method
US10366200B2 (en) 2016-09-07 2019-07-30 Taiwan Semiconductor Manufacturing Company, Ltd. System for and method of manufacturing a layout design of an integrated circuit
US10489547B2 (en) 2016-09-08 2019-11-26 Taiwan Semiconductor Manufacturing Company, Ltd. Multiple patterning method, system for implementing the method and layout formed
US10176284B2 (en) 2016-09-30 2019-01-08 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor circuit design and manufacture method
US10372871B2 (en) 2017-07-28 2019-08-06 Globalfoundries Inc. IC layout post-decomposition mask allocation optimization
US10475700B2 (en) 2017-08-31 2019-11-12 Taiwan Semiconductor Manufacturing Company, Ltd. Etching to reduce line wiggling
DE102017128070B4 (de) 2017-08-31 2023-08-31 Taiwan Semiconductor Manufacturing Company, Ltd. Ätzen zum Verringern von Bahnunregelmässigkeiten
US10509881B2 (en) * 2017-09-28 2019-12-17 Taiwan Semiconductor Manufacturing Co., Ltd. Method for coloring circuit layout and system for performing the same
KR102545141B1 (ko) 2017-12-01 2023-06-20 삼성전자주식회사 반도체 소자 및 그의 제조 방법
US11172142B2 (en) 2018-09-25 2021-11-09 Taiwan Semiconductor Manufacturing Co., Ltd. Image sensor for sensing LED light with reduced flickering
US11302532B2 (en) 2020-03-02 2022-04-12 International Business Machines Corporation Self-aligned double patterning with spacer-merge region

Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN1734744A (zh) * 2004-08-12 2006-02-15 国际商业机器公司 物理设计系统和方法
US20060288323A1 (en) * 2005-06-21 2006-12-21 Pulsic Limited High-Speed Shape-Based Router
CN101349863A (zh) * 2008-08-19 2009-01-21 浙江大学 用轮廓采样的多边形边动态切分的光学临近效应校正方法
CN101539962A (zh) * 2008-03-13 2009-09-23 台湾积体电路制造股份有限公司 半导体装置及用以制造电路的掩模的形成方法
WO2009131777A1 (en) * 2008-04-24 2009-10-29 Synopsys, Inc. A dual-purpose perturbation engine for automatically processing pattern-clip-based manufacturing hotspots
US20100023914A1 (en) * 2008-02-20 2010-01-28 Sahouria Emile Y Use Of Graphs To Decompose Layout Design Data

Family Cites Families (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7546602B2 (en) * 2001-07-10 2009-06-09 Microsoft Corporation Application program interface for network software platform
US6787469B2 (en) * 2001-12-28 2004-09-07 Texas Instruments Incorporated Double pattern and etch of poly with hard mask
US6901576B2 (en) * 2002-11-20 2005-05-31 International Business Machines Corporation Phase-width balanced alternating phase shift mask design
US7378195B2 (en) * 2004-06-28 2008-05-27 International Business Machines Corporation System for coloring a partially colored design in an alternating phase shift mask
US7653892B1 (en) * 2004-08-18 2010-01-26 Cadence Design Systems, Inc. System and method for implementing image-based design rules
US7266798B2 (en) * 2005-10-12 2007-09-04 International Business Machines Corporation Designer's intent tolerance bands for proximity correction and checking
US8111901B2 (en) * 2006-08-14 2012-02-07 Asml Masktools B.V. Apparatus and method for separating a circuit pattern into multiple circuit patterns
US20100205573A1 (en) * 2007-07-06 2010-08-12 Sagantiec Israel Ltd. Layout modification engine for modifying a circuit layout comprising fixed and free layout entities
JP5100625B2 (ja) * 2008-12-11 2012-12-19 株式会社東芝 パターンレイアウト設計方法

Patent Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN1734744A (zh) * 2004-08-12 2006-02-15 国际商业机器公司 物理设计系统和方法
US20060288323A1 (en) * 2005-06-21 2006-12-21 Pulsic Limited High-Speed Shape-Based Router
US20100023914A1 (en) * 2008-02-20 2010-01-28 Sahouria Emile Y Use Of Graphs To Decompose Layout Design Data
CN101539962A (zh) * 2008-03-13 2009-09-23 台湾积体电路制造股份有限公司 半导体装置及用以制造电路的掩模的形成方法
WO2009131777A1 (en) * 2008-04-24 2009-10-29 Synopsys, Inc. A dual-purpose perturbation engine for automatically processing pattern-clip-based manufacturing hotspots
CN101349863A (zh) * 2008-08-19 2009-01-21 浙江大学 用轮廓采样的多边形边动态切分的光学临近效应校正方法

Non-Patent Citations (1)

* Cited by examiner, † Cited by third party
Title
《半导体学报》 20040531 高根生,等 一种用于标准单元版图交替移相掩模相位兼容性规则检查的工具 1-10 第25卷, 第5期 *

Cited By (17)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN102663170B (zh) * 2012-03-21 2014-08-20 上海复旦微电子集团股份有限公司 集成电路版图设计最小通孔数目设计规则的检查方法
CN102663170A (zh) * 2012-03-21 2012-09-12 领佰思自动化科技(上海)有限公司 集成电路版图设计最小通孔数目设计规则的检查方法
CN104077429A (zh) * 2013-03-14 2014-10-01 台湾积体电路制造股份有限公司 在多重图案化光刻期间用于冲突检测的eda工具和方法
CN104077429B (zh) * 2013-03-14 2017-07-21 台湾积体电路制造股份有限公司 在多重图案化光刻期间用于冲突检测的eda工具和方法
CN107924135A (zh) * 2015-08-21 2018-04-17 高通股份有限公司 用于指派集成电路布局中的群约束的系统和方法
CN107924135B (zh) * 2015-08-21 2019-08-20 高通股份有限公司 用于指派集成电路布局中的群约束的系统和方法
CN107025320B (zh) * 2015-11-25 2022-01-11 新思科技有限公司 考虑复杂着色规则的多重图案化布局分解
CN107025320A (zh) * 2015-11-25 2017-08-08 新思科技有限公司 考虑复杂着色规则的多重图案化布局分解
CN106935584B (zh) * 2015-12-30 2019-11-08 台湾积体电路制造股份有限公司 制造集成电路的方法
CN106935584A (zh) * 2015-12-30 2017-07-07 台湾积体电路制造股份有限公司 制造集成电路的方法
TWI667532B (zh) * 2017-04-28 2019-08-01 荷蘭商Asml荷蘭公司 最佳化用於製造產品單元之製程序列
US11106141B2 (en) 2017-04-28 2021-08-31 Asml Netherlands B.V. Optimizing a sequence of processes for manufacturing of product units
US11442367B2 (en) 2017-04-28 2022-09-13 Asml Netherlands B.V. Optimizing a sequence of processes for manufacturing of product units
TWI786131B (zh) * 2017-06-14 2022-12-11 南韓商三星電子股份有限公司 積體電路以及產生積體電路的佈局的電腦實施方法
TWI641962B (zh) * 2017-06-29 2018-11-21 台灣積體電路製造股份有限公司 積體電路佈局的製作方法及非暫態機器可讀取媒介
CN116342625A (zh) * 2023-03-30 2023-06-27 广东思沃激光科技有限公司 用于LDI曝光设备的gds图形分割方法
CN116342625B (zh) * 2023-03-30 2023-10-03 广东思沃激光科技有限公司 用于LDI曝光设备的gds图形分割方法

Also Published As

Publication number Publication date
CN102147821A (zh) 2011-08-10
CN102147820B (zh) 2013-01-09
CN102147821B (zh) 2013-01-09
US8943445B2 (en) 2015-01-27
US8631379B2 (en) 2014-01-14
US20110197168A1 (en) 2011-08-11
US20140101623A1 (en) 2014-04-10

Similar Documents

Publication Publication Date Title
CN102147820B (zh) 分解集成电路布局的方法以及计算机可读取媒体
US7296251B2 (en) Method of physical planning voltage islands for ASICs and system-on-chip designs
Fanti et al. Deadlock control methods in automated manufacturing systems
US8745556B2 (en) Layout method and system for multi-patterning integrated circuits
US9904756B1 (en) Methods, systems, and computer program product for implementing DRC clean multi-patterning process nodes with lateral fills in electronic designs
US8484607B1 (en) Decomposing layout for triple patterning lithography
US8468470B2 (en) Multi-patterning method
US8473874B1 (en) Method and apparatus for automatically fixing double patterning loop violations
Tuncel et al. Applications of Petri nets in production scheduling: a review
Zeising et al. Towards a common platform for the support of routine and agile business processes
US8661371B1 (en) Method and apparatus for fixing double patterning color-seeding violations
Reveliotis Accommodating FMS operational contingencies through routing flexibility
Gindy et al. Manufacturing responsiveness through integrated process planning and scheduling
US20110023000A1 (en) Generating integrated circuit floorplan layouts
US8645902B1 (en) Methods, systems, and computer program products for implementing interactive coloring of physical design components in a physical electronic design with multiple-patterning techniques awareness
Lin et al. Placement with symmetry constraints for analog layout design using TCG-S
Yoo et al. An algorithm for deadlock avoidance in an AGV system
US7962884B2 (en) Floorplanning apparatus and computer readable recording medium storing floorplanning program
Sieling On the existence of polynomial time approximation schemes for OBDD minimization
Kuriger et al. Lean and green: A current state view
US20180068046A1 (en) Multiple patterning method, system for implementing the method and layout formed
Baykan Formulating spatial layout as a disjunctive constraint satisfaction problem
Danigno et al. Proposal and evaluation of pin access algorithms for detailed routing
Reveliotis Structural analysis and control of flexible manufacturing systems with a performance perspective
Etienne et al. An improved approach for automatic process plan generation of complex borings

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant
CF01 Termination of patent right due to non-payment of annual fee

Granted publication date: 20130109

CF01 Termination of patent right due to non-payment of annual fee