CN102073886B - 铁路车号识别系统及信息传输方法 - Google Patents

铁路车号识别系统及信息传输方法 Download PDF

Info

Publication number
CN102073886B
CN102073886B CN 201010593932 CN201010593932A CN102073886B CN 102073886 B CN102073886 B CN 102073886B CN 201010593932 CN201010593932 CN 201010593932 CN 201010593932 A CN201010593932 A CN 201010593932A CN 102073886 B CN102073886 B CN 102073886B
Authority
CN
China
Prior art keywords
data
unit
electronic tag
coding
bit
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
CN 201010593932
Other languages
English (en)
Other versions
CN102073886A (zh
Inventor
徐玉锁
陈长安
武岳山
冯汉炯
熊泽渝
薛军兴
陈志坚
何方勇
黎景明
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Invengo Information Technology Co Ltd
Original Assignee
Invengo Information Technology Co Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Invengo Information Technology Co Ltd filed Critical Invengo Information Technology Co Ltd
Priority to CN 201010593932 priority Critical patent/CN102073886B/zh
Publication of CN102073886A publication Critical patent/CN102073886A/zh
Application granted granted Critical
Publication of CN102073886B publication Critical patent/CN102073886B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Landscapes

  • Train Traffic Observation, Control, And Security (AREA)
  • Digital Transmission Methods That Use Modulated Carrier Waves (AREA)

Abstract

本发明公开了一种铁路车号识别系统及信息传输方法,要解决的技术问题是提高铁路车号识别系统的电子标签识别率。本发明的系统由电子标签编程器、电子标签、电子标签阅读器天线、电子标签阅读器和上位计算机组成。本发明的方法包括以下步骤:电子标签编程器对电子标签FMO编程,写入存储器里,电子标签阅读器发射载波照射电子标签,电子标签将存储器里的数据反射出去,电子标签阅读器接收,解调,FMO解码获得标签数据。本发明与现有技术相比,采用FMO编码,使得标签识别过程中的标签信息等效传输速率提高4倍,增加了阅读器和标签之间的“数据帧”传输次数,提升有效的信息传输速率,使电子标签的最高适应车速达到300km/h以上。

Description

铁路车号识别系统及信息传输方法
技术领域
本发明涉及一种电子标签的编码解码系统和方法,特别是一种应用于高速铁路车号识别的电子标签的编码解码系统和方法。
背景技术
基于射频识别RFID的铁路车号识别系统,不仅使我国铁路现车管理水平提升到了当前国际先进水平;而且在铁路机车、货车的全路自动跟踪、调度与管理上也发挥了巨大的作用,基本杜绝了铁路货车错号、重号事故的发生;在铁路运输管理中成为货车费用清算的数据依据,并显著提高了列车正点率。由此可见,铁路车号识别系统已经成为铁路运输生产管理中全天候运行的设备,其可靠性和稳定性至关重要。铁道部门为适应社会经济发展的需要,不断提高列车运行速度,全国范围内,时速达到160公里/小时的线路已达到7700公里。随着列车运行速度的提高,对机车的运行监控和车号识别的可靠性提出了更高的要求。现有技术利用“变形FSK(AAR)编码”技术的车号识别系统中,铁路电子标签的最高适应车速为128公里/小时,然而,实际中受安装条件的限制,无法满足电子标签正常工作所需的安装环境条件,所以,128km/h以上车速的高速机车的电子标签不能被可靠地识别,导致机车及车次识别率始终达不到运用的要求。
发明内容
本发明的目的是提供一种铁路车号识别系统及信息传输方法,要解决的技术问题是提高整个铁路车号识别系统的电子标签识别率。
本发明采用以下技术方案:一种铁路车号识别系统,由电子标签编程器、电子标签、电子标签阅读器天线、电子标签阅读器和上位计算机组成,电子标签编程器通过数据线与电子标签连接,电子标签阅读器通过射频电缆与电子标签阅读器天线连接,电子标签与电子标签阅读器天线无线连接;所述电子标签编编程器由顺序连接的数据收发单元、编码单元和读写控制单元构成;所述数据收发单元用于接收上位机的需要编码的电子标签数据,向编码单元发送电子标签数据信号,接收读写控制单元的电子标签返回信号;所述编码单元用于接收到数据收发单元的电子标签数据信号后,完成对上位机传来的电子标签数据的FMO编码,将编码数据发送到读写控制单元;所述读写控制单元接收到编码数据后,通过数据线向电子标签的控制器发送将经过FMO信息编码的车号数据,收到电子标签正确返回信号或超时未收到电子标签正确返回信号,向数据收发单元发送电子标签编程成功与否的状态信息;电子标签阅读器的解码单元设有顺序连接的位同步单元、帧头识别单元、并联的变形FSK解码处理单元和FMO解码处理单元、报文存储及输出控制单元;所述变形FSK解码处理单元设有顺序连接的FSK码数据分段单元、码型比较单元、FSK码串并转换单元、FSK码报文解析单元;所述FMO解码处理单设有顺序连接的FMO码数据分段单元、异或比较单元、FMO码串并转换单元、FMO码报文解析单元。
一种铁路车号识别系统的信息传输方法,包括以下步骤:一、电子标签编程器对电子标签编程,并将编码后的数据写入电子标签的存储器里;二、当电子标签阅读器发射载波照射电子标签时,电子标签通过无线发射的方式将存储器里的数据以80Kbps的传输速率反射出去;三、电子标签阅读器接收到电子标签的反射信号后,通过解调单元解调出调制数据;四、电子标签阅读器的解码单元对解调出的调制数据进行解码,还原出电子标签数据,获得电子标签内存储的数据信息,经通信单元送往上端计算机;
所述编码包括以下步骤:一、电子标签编程器的数据收发单元从上位机接收待编码的电子标签数据,存放在电子标签编程器的数据收发单元的随机存取存储区(RAM)中;二、编码单元从数据收发单元的随机存取存储区(RAM)中获电子标签数据,存放在编码单元的数据存储模块中,数据存储的顺序按高位在前,低位在后;三、编码单元对每位标签数据进行FMO编码,设置“位数据区”标志为1,判断待编码的数据位为1,按照FMO编码格式先进行一次电平跳变,在数据码元位的25us周期内不再进行电平跳变,经过编码后的标签数据共240位存放在编码单元编码后的数据区中;四、编码单元对电子标签的帧头位,进行FMO编码,得到16位的帧头位,存放在编码单元编码后的数据区中,放在先前编码的240位编码数据的前面;五、读写控制单元将256位编码后的电子标签数据通过连接电缆,通过串行接口方式发送到电子标签中;
所述解码包括以下步骤:一、解码单元的位同步单元从解调装置接收到报文的解调输出电平信号,提取数据同步时钟,采样,确定报文的数据位,依次向帧头识别单元输出;二、帧头识别单元对采样后的数据进行比较,判断与“帧头特征数据”相等,确定数据帧的起始位置,再区分出是“变形FSK编码”标签的帧头数据还是“FMO编码”标签的帧头数据,将数据输出至变形FSK解码处理单元或FMO解码处理单元;三、FSK码数据分段单元将数据以8比特为字长进行分段,将每8比特与标准码型进行对比,还原出编码前的位数据,顺序输入到FSK码串并转换单元,FSK码串并转换单元接收完成一个完整的标签数据帧后,对数据进行累加和校验,将数据进行动态字长的分段,补充空余的位,依次输入到FSK码报文解析单元,FSK码报文解析单元对数据进行解析还原,获取ASCII编码的实际的车号数据,输出至FSK码报文存储及控制单元;或FMO码数据分段单元将数据以2比特为字长进行分段,与前次数据对比,异或比较单元对这2比特数据进行异或运算,再取反,还原出编码前的位数据,顺序输入FMO码串并转换单元,FMO码串并转换单元接收完成一个完整的标签数据帧后对数据进行累加和校验,将数据进行动态字长的分段,补充空余的位,依次输入FMO码报文解析单元,FMO码报文解析单元对数据进行解析还原,获取ASCII编码的实际的车号数据,输出至报文存储及控制单元;四、报文解析单元将车号数据,输出给报文存储及输出控制单元进行存储,与通讯装置通信,输出数据。
本发明的读写控制单元按照CRC16校验法对写入电子标签中的数据进行校验,向数据收发单元发送电子标签编程成功的状态信息;所述电子标签编程器的数据收发单元从上位机接收待编码的电子标签数据,总共128比特;所述编码单元通过串口通信模块,从数据收发单元的随机存取存储区(RAM)中获取128比特的电子标签数据。
本发明的数据存储的数据排列方式,按“FMO编码”的车辆标签的数据格式表
Figure BDA0000038992170000051
和“FMO编码”的机车标签数据格式表
Figure BDA0000038992170000052
的排列方式。
本发明的电子标签编程器的的编码单元从d119位开始,将数据位顺序地移入数据存储模块的“位数据区”,对每位标签数据进行FMO编码;所述编码单元对d127~d120电子标签的帧头位,移入“位数据区”,进行FMO编码。
本发明的判断待编码的数据位为0,按照FMO编码格式先进行一次电平跳变,在数据码元位的25us周期的中间位置再进行一次电平跳变。
本发明的解码单元的帧头识别单元判断数据与“帧头特征数据”不相等,返回到解码步骤一。
本发明的解码单元的位同步单元根据该同步时钟对输入信号进行采样;所述FSK码数据分段单元将数据以8比特为字长进行分段,顺序输入8比特移位寄存器;所述累加为对数据段的数据按二进制进行位累加。
本发明的FMO码数据分段单元将数据以2比特为字长进行分段,顺序输入2比特移位寄存器。
本发明的校验将位累加和保留第0位与第1位,其余舍去,比较保留的两位校验和与校验段数据,相等则通过校验;所述对比不成功,返回到解码步骤一;所述校验不正确,返回到解码步骤一。
本发明与现有技术相比,采用“FMO编码”方法,相对于原车号识别方法中采用的“变形FSK编码”方法,使得标签识别过程中的标签信息等效传输速率提高了4倍,这样,在有限的数据传输时间内,增加了阅读器和标签之间的“数据帧”传输次数,提升有效的信息传输速率,进而提高电子标签识别率,解决了铁路电子标签的速度适应性问题,可以使电子标签的最高适应车速达到300km/h以上,满足高速铁路铁路车号识别需要。
附图说明
图1是本发明实施例的铁路车号识别系统图。
图2是本发明实施例的铁路车号识别系统阅读范围示意图。
图3是本发明实施例的编码示意图。
图4是本发明实施例的铁路车号识别系统框图。
图5是本发明实施例的解码单元结构框图。
图6是本发明实施例的铁路车号识别系统信息编码流程图。
图7是本发明实施例的铁路车号识别系统信息解码流程图。
具体实施方式
下面结合附图和实施例对本发明作进一步的详细说明。
如图1所示,本发明的铁路车号识别系统,由电子标签编编程器、电子标签、电子标签阅读器天线、电子标签阅读器和上位计算机组成,电子标签编程器通过数据线与电子标签连接,电子标签阅读器通过射频电缆与电子标签阅读器天线连接,电子标签与电子标签阅读器天线无线连接,电子标签阅读器与上位计算机通过通讯线连接。
在图1中,1#表示的硬件为“电子标签”,简称为“标签”,其内部集成有“标签天线”;2#表示的硬件为“电子标签阅读器”,简称为“阅读器”或称为“AFI地面读出设备”;3#表示的硬件为“电子标签阅读器天线”,简称为“天线”;4#表示的硬件为“电子标签编程器”,简称为“编程器”。图中“数据线”指向的虚线箭头表示“标签的编程过程”是整个车号识别系统工作前必须完成的一个独立过程。
图1中所示的硬件设备在实施例中安装时,“电子标签”置于机车或车辆上,“阅读器”及“天线”置于地面;在某些应用场合,也可能将“阅读器”及“天线”置于机车或车辆上,“电子标签”置于地面。
铁路车号识别系统的具体工作过程是:“阅读器”通过“天线”发射UHF频段的载波,“电子标签”接收到载波后,从载波中整流一部分能量支持芯片工作;“电子标签”中存储的二进制数据被用于控制“标签天线”的负载,使“标签天线”在不同时刻处于“匹配”或者“不匹配”这两种状态,从而实现对载波反射波的幅移键控ASK调制;“阅读器”接收“电子标签”的反射调制信号之后,对标签数据进行解调和解码。这样实现整个车号识别系统的信息传输过程。
如图4所示,电子标签编程器由顺序连接的数据收发单元、编码单元和读写控制单元构成。电子标签编程器经数据线与上位机(上端计算机)连接,经数据线与电子标签连接。
数据收发单元用于接收上位机的需要编码的电子标签数据,即车号数据,向编码单元发送电子标签数据信号,接收读写控制单元的电子标签返回信号。
编码单元接收到数据收发单元的电子标签数据信号后,按照编码的规则完成对上位机传来的电子标签数据的FMO编码,将编码数据发送到读写控制单元。
读写控制单元接收到编码数据后,通过连接电缆向电子标签的控制器发送将经过FMO信息编码的车号数据,控制器将车号编码数据存入电子标签的存储器,读写控制单元收到电子标签正确返回信号或超时未收到电子标签正确返回信号,向数据收发单元发送电子标签编程成功与否的状态信息。
电子标签由顺序连接的控制器、电容、三极管、印制板天线组成,印制板天线连接检波管,控制器连接存储器,如可以采用ZL 200520065488.2来实现。
电子标签阅读器由顺序连接的双工隔离电路、解调单元、解码单元和通信单元组成,可以采用ZL 03222830.9中的双工隔离电路、解调单元和通信单元。
电子标签阅读器天线采用深圳市远望谷信息技术股份有限公司的ST-900R1型天线。
解码单元顺序连接的位同步单元、帧头识别单元、并联的变形FSK解码处理单元和FMO解码处理单元、报文存储及输出控制单元。
所述变形FSK解码处理单元设有顺序连接的FSK码数据分段单元、码型比较单元、FSK码串并转换单元、FSK码报文解析单元,其中,
FSK码数据分段单元用于将位同步单元输出的报文数据流以8位比特为单位进行分段,并将分段的报文数据以8位字长输出到码型比较单元。
码型比较单元将输入的8位字长的数据与标准码型对比,还原出编码前的数据,并顺序输出。
FSK码串并转换单元按照标签编码协议将解码后的数据进行动态字长的分段,并补充空余位,以特定位字长输出到报文解析单元。
FSK码报文解析单元根据标签编码协议,对输入的特定比特字长的数据中的某些部分进行解压缩,逆转换,计算校验位,获得实际的车号数据,以特定比特字长输出。
所述FMO解码处理单设有顺序连接的FMO码数据分段单元、异或比较单元、FMO码串并转换单元、FMO码报文解析单元,其中,
FMO码数据分段单元用于将位同步单元输出的报文数据流以2位比特为单位进行分段,实现串进并出功能,将分段的报文数据以2位字长输出到异或比较单元。
异或比较单元将输入的2位字长的数据异或并取反,还原出编码前的比特流数据,并顺序输出。
FMO码串并转换单元,按照FMO标签编码协议将解码后的数据进行动态字长的分段,并补充空余位,以特定位字长输出到报文解析单元。
FMO码报文解析单元,根据FMO标签编码数据格式,对输入的特定比特字长的数据中的某些部分进行解压缩,转换,计算校验位等,获得实际的车号数据,以特定比特字长输出。
位同步单元从解调装置接收到报文的解调输出电平信号,提取数据同步时钟,根据该同步时钟对输入信号进行采样,确定报文的数据位,依次向帧头识别单元输出。
帧头识别单元采用一个16比特移位寄存器构成的帧头判别窗,判断数据是否是帧头数据。如确定是帧头数据,再区分出是变形FSK编码标签的帧头数据,还是FMO编码标签的帧头数据,然后将数据输出至变形FSK解码处理单元或FMO解码处理单元。
报文存储及控制单元拥有随机存储器,用于存储变形FSK解码处理单元或FMO解码处理单元输出的车号数据报文。当变形FSK解码处理单元成功写入(收到)数据报文后,报文存储及控制单元中的控制逻辑模块通过设置在内部的逻辑开关阵列将输出通道暂时锁定在变形FSK解码处理单元刚写入的数据存储区上。同样,当FMO解码处理单元成功写入数据报文后,输出通道则暂时锁定在FMO解码处理单元刚写入的数据存储区上。
与报文存储及控制单元连接的通讯装置通过报文存储及控制单元的输出通道读取车号数据报文。
如图2所示,L表示标签能够被读取的有效范围,该范围与列车前进的方向一致;H表示机车或车辆的底部距离“天线”的高度,它与车种和车型相关;θ表示天线波瓣的张角,它与天线的自身特性有关。
由图2可知,当H和θ一定的情况下,系统的有效读取范围L就确定了。由已知的L,再根据车速,可以算出读取的时间长度;由于“电子标签”发射数据的速率是个定值,即传输一帧数据的时间是个定值,那么时间越长,“阅读器”收到的数据帧越多;时间越短,“阅读器”收到的数据帧越少,即传输的数据帧的数目与列车运行速度成反比。根据在铁路系统的使用经验,“阅读器”要能够完全可靠接收电子标签的数据,必须保证其有3~4次以上接收完整标签数据的机会;若提高列车的运行速度,读取时间就会缩短,从而导致“阅读器”接收到的标签数据帧就会减少。
如图3所示,现有技术的铁路车号识别系统的信息传输方法采用“变形FSK编码”,本发明的铁路车号识别系统的信息传输方法采用“双相间隔码FMO编码”。
“变形FSK编码”采用了二个谐波相关频率,即20KHz和40KHz频率。一个数据码元“0”是由一个周期的20KHz矩形波及紧随着二个周期的40KHz的矩形波组成;一个数据码元“1”是由二个周期的40KHz矩形波及紧随着一个周期的20KHz矩形波组成。
“双相间隔码FMO编码”,采用的物理电平的频率与“变形FSK编码”相同,即20KHz和40KHz频率。每个数据码元由两个电平位组成,两个电平位有变化表示数据码元“0”;两个电平位相同表示数据码元“1”,相邻两个数据位之间必须有电平跳变。
由图3可知,表示相同的一个数据码元,“FMO编码”长度是“变形FSK编码”的1/4。这样,对于一个由128个数据码元组成的“电子标签”数据帧来讲,要表示一帧信息,“FMO编码”需要占用256个电平位,而“变形FSK编码”需要占用1024个电平位。在电子标签的“物理位速率”保持不变的情况下,采用“FMO编码”将比“变形FSK编码”的数据传输速率提高4倍。
如图4所示,本发明的铁路车号识别系统的信息传输方法,包括以下步骤:
一、电子标签编程器对电子标签编程,将电子标签数据帧的内容按照FMO编码规则进行编码,并将编码后的数据写入电子标签的存储器里,将编程后的电子标签安装在机车或者车辆底部。
二、列车运行过程中,当电子标签处于电子标签阅读器天线的覆盖范围时,电子标签阅读器开始读取电子标签的数据信息,信息传输的过程开始。
三、当电子标签阅读器发射载波照射电子标签时,电子标签的检波管把印制板天线(即电子标签天线)接收的载波信号转变为直流电压,为存储器和“控制电路供电。电子标签的控制电路上电工作后,立即向存储器发送读指令,将存储器内的数据内容顺序读出并依次传送给电容,电容再传递给三极管的基极,存储器按照控制器设定的指令不断循环发送存储器数据,当传送数据为“0”时,三极管导通,电子标签天线的负载阻抗变小,载波被吸收;当传送数据为“1”时,三极管截止,电子标签天线的负载阻抗变大,载波被印制板天线反射,从而实现标签数据的幅移键控ASK调制。在这个传输过程中,电子标签通过无线发射的方式将存储器里的数据以80Kbps的传输速率反射出去。
四、电子标签阅读器接收到电子标签的反射信号后,通过解调单元解调出调制数据。
五、电子标签阅读器的解码单元对解调出的调制数据进行解码,还原出电子标签数据,获得电子标签内存储的数据信息,经通信单元送往上端计算机。
在本发明的铁路车号识别系统的信息传输方法中,编码和解码是两个互为可逆的过程。如图6所示,所述编码包括以下步骤:
一、电子标签编程器的数据收发单元从上位机接收待编码的电子标签数据,总共128比特,存放在电子标签编程器的数据收发单元的随机存取存储区RAM中。数据收发单元采用单片机,与上位机的数据传输方式为串口方式。
二、编码单元通过串口通信模块,从数据收发单元的RAM中获取128比特的电子标签数据,并存放在编码单元的数据存储模块中,数据存储的顺序按高位在前,低位在后。数据排列方式按表4中的“FMO编码”的车辆标签的数据格式和表5中的“FMO编码”的机车标签数据格式排列方式,其中d127表示最高位,d0表示最低位。编码单元采用现场可编程门阵列FPGA。
三、编码单元从d119位开始,将数据位顺序地移入数据存储模块的“位数据区”,然后对每位标签数据进行FMO编码,直到完成对d0位标签数据的编码。对数据进行编码的具体过程为:设置“位数据区”标志为1;判断待编码的数据位是否为1,若待编码数据位为1,则按照FMO编码格式先进行一次电平跳变,即两比特中第一位数据区取反,在数据码元位的25us周期内不再进行电平跳变,即保持位数据区的数据不变,若待编码数据位为0,则按照FMO编码格式先进行一次电平跳变,即将位数据区取反,在数据码元位的25us周期的中间位置(即输出比特中的第二位)再进行一次电平跳变。经过编码后的标签数据共240位存放在编码单元编码后的数据区中。编码单元中的“位数据区”的是一个1比特的移位寄存器,其输出的电平保持时间为12.5uS,“位数据区”中的数据“1”用高电平表示,数据“0”用低电平表示,对于通用CMOS电平来讲,高电平是指电压幅度不小于1.8V的电平,低电平是指电压幅度不大于0.8V的电平。
四、编码单元对d127~d120这8个电子标签的帧头位,移入“位数据区”,进行FMO编码,得到16位的帧头位,存放在编码单元编码后的数据区中,放在先前编码的240位编码数据的前面。16位的帧头数据和240位的信息数据共同构成了256位的编码后的标签数据。
五、读写控制单元将256位编码后的电子标签数据通过连接电缆,通过串行接口方式发送到电子标签中,完成写入电子标签数据。
六、写入工作完成以后,读写控制单元按照CRC16校验法对写入电子标签中的数据进行校验,如果校验正确,向数据收发单元发送电子标签编程成功的状态信息,则表示整个编码流程结束;反之,需要进行重新编码。
“位数据区”中的“数据取反”是指数据电平从高电平变为低电平,或者从低电平变为高电平。
编码单元的作用是将1比特的标签数据位转换成2比特FMO编码位,而1比特的标签数据位的电平保持时间为25uS,1比特FMO编码位的电平保持时间为12.5uS;这样经过编码单元之后,128比特的标签数据就变成了256比特的FMO编码数据。
如图7所示,所述解码包括以下步骤:
一、位同步,解码单元的位同步单元从解调装置接收到报文的解调输出电平信号,提取数据同步时钟,根据该同步时钟对输入信号进行采样,确定报文的数据位,依次向帧头识别单元输出,即将数据顺序移入“帧头判别窗口”。
二、帧头识别,解码单元的帧头识别单元识别报文的帧头,对采样后的数据串行移位至数据窗口,进行比较,判断窗口的数据位与“帧头特征数据”相等,确定数据帧的起始位置。再根据窗口数据与帧头特征数据的比对结果区分出是“变形FSK编码”标签的帧头数据还是“FMO编码”标签的帧头数据,然后将数据输出至变形FSK解码处理单元或FMO解码处理单元。判断窗口的数据位与“帧头特征数据”不相等,返回到步骤一。
三、“变形FSK码”处理,变形FSK解码处理单元完成对采用“变形FSK编码”的报文的解码、解析,输出解析后的报文数据。具体为:当数据进入变形FSK编码处理单元后,FSK码数据分段单元将数据以8比特为字长进行分段,顺序输入8比特移位寄存器,码型比较单元将每8比特与标准码型进行对比,根据标准码型的具体类型,还原出(解码)编码前的位数据,顺序输入到FSK码串并转换单元,对比不成功返回步骤一。如图3所示,标准码型的一个数据码元位bit“0”是由一个周期的20KHz方波和紧随着的二个周期的40KHz方波组成;一个数据码元位bit“1”是由二个周期的40KHz方波和紧随着一个周期的20KHz方波组成。FSK码串并转换单元接收完成一个完整的标签数据帧后,对数据进行按位累加和比较校验,校验正确后根据变形FSK编码标签的数据帧协议,将数据进行动态字长的分段,并补充空余的位,依次输入到FSK码报文解析单元,校验不正确,返回步骤一。FSK码报文解析单元再根据变形FSK编码标签的数据格式,对数据进行相应的解析还原,获取美国标准信息交换码ASCII编码的实际的车号数据,输出至FSK码报文存储及控制单元。
“FMO码”处理,FMO解码处理单元完成对采用“FMO编码”的报文的解码、解析,输出解析后的报文数据。具体为:当数据进入FMO编码处理单元后,FMO码数据分段单元将数据以2比特为字长进行分段,顺序输入2比特移位寄存器,与前次数据对比,若数据为首位数据则无需对比操作,对比成功后,异或比较单元对这2比特数据进行异或运算,再取反,还原出编码前的位数据,顺序输入FMO码串并转换单元,对比成功返回步骤一。FMO码串并转换单元接收完成一个完整的标签数据帧后对数据进行按位累加和比较校验,对数据段的数据按二进制进行位累加,将位累加和保留第0位与第1位,其余舍去,比较保留的两位校验和与校验段数据,相等则通过校验。校验正确后根据FMO编码标签的数据帧协议,将数据进行动态字长的分段,并补充空余的位,依次输入FMO码报文解析单元,校验不正确,返回步骤一。FMO码报文解析单元根据“FMO编码”标签的数据帧格式,对数据进行相应的解析还原,获取ASCII编码的实际的车号数据,输出至报文存储及控制单元。
四、报文存储及输出控制,报文解析单元将“变形FSK码”处理、解析后的报文,或“FMO码”处理、解析后的车号数据报文,输出给报文存储及输出控制单元进行存储,通过与通讯装置的通信,来实现数据的输出。
本发明可以兼容现有技术的变形FSK编码系统,解码步骤中涉及到了对变形FSK编码数据的解码。
实施例,数据收发单元和读写控制单元采用ATMEL公司的AT91SAM7X256单片机,编码单元采用LATTICE公司的LFXP3C现场可编程逻辑阵列FPGALFXP3C。
单片机AT91SAM7X256集成了
Figure BDA0000038992170000161
处理器,用于实现数据收发,外围电路构成读写控制单元。单片机的程序采用C语言,版本为Keil C。
现场可编程逻辑阵列LFXP3C实现FMO编码,包含有串口通信模块、数据加密模块和数据存储模块。串口通信模块用于与单片机建立连接。数据加密模块用于根据数据加密协议对电子标签数据进行加密处理。数据存储模块用于存储编码前的标签数据、编码后的标签数据,“位数据区”设置在数据存储模块中。采用VHDL语言,版本为VHDL-93。
所述解码单元采用美国Xilinx公司的现场可编程门阵列器件FPGA,Spartan2XC2S50。采用VHDL语言,版本为VHDL-93。
为方便编码和解码过程的阐述,定义传输时,数据的高位在前、低位在后。定义d表示原始的电子标签数据中的一个比特,d的下标数字表示具体的第几比特,如d0表示电子标签数据第0位,dn就是第n位。定义b表示编码后数据中的一个比特,b的下标数字表示具体的第几比特,如b0表示编码后数据的第0位,bn则表示第n位。
铁路车号识别系统的编码通过“编程器”对“电子标签”编程来实现,它包括以下步骤:
a、标签编程器接收电子标签数据,标签数据可视为二进制序列:D(n)={d127d126...d1d0},其中:d127d126...d121d120共计8个比特,为“帧头占位比特”,不包含有任何数据信息,去掉“帧头占位比特”的序列为:D′(n)={d119d118...d1d0}。
b、标签编程器中的编码器模块按照下列计算公式求出D′(n)序列的FMO编码序列B(x)={b239b238b237...b2b1b0},计算公式如下:
b 2 n + 1 = 0 ; n = 119 ! b 2 n ; n = 0,1,2 , . . . 118 , b 2 n = b 2 n + 1 ; d n = 1 n = 0,1,2 , . . . , 118,119 ! b 2 n + 1 ; d n = 0 n = 0,1,2 , . . . , 118,119 .
c、标签编程器将16位“帧头标志比特”(0101000110110001)加在240比特的序列B(x)最高位的前面;从而在标签编程器的数据存储区生成256比特的二进制序列B′(x)。
d、标签编程器将256比特长的二进制序列B′(x)从高位至低位依次写入电子标签数据存储区。
e、标签编程器将电子标签数据存储区的数据读出,通过逐位比较的方式进行校验,若校验失败,标签编程器重新对电子标签进行写入操作。
铁路车号识别系统的解码流程是通过“阅读器”的解码单元来实现的,对FMO编码的标签数据进行解码的步骤如下:
a、“阅读器”将接收到的标签的反射信号数据顺序移入其解码单元的“帧头判别窗口”,判断数据是否与解码单元预先存储的FMO编码的“帧头特征数据”(0101000110110001)相符,不符则解码单元返回接收状态。
b、“阅读器”的解码单元对数据以2比特长度分段后,按照FMO解码规则进行解码。
c、将数据看作二进制序列B(x)={b239b238b237...b2b1b0},“阅读器”的解码单元对其每2比特进行异或并取反,计算公式如下:
Figure BDA0000038992170000181
d、“阅读器”的解码单元依据上式计算出120比特的二进制序列D′(n)={d119d118...d1d0},加上8比特帧头占位数据,得到D(n)={d127d126...d1d0}。
e、“阅读器”的解码单元对数据序列D(n)中的d119~d16按照标准的CRC-16-CCITT算法进行CRC计算,其计算多项式为x16+x12+x5+1。解码单元将计算的CRC结果与d15~d0数据进行逐位比较检查,通过校验后,解码单元输出电子标签数据,若校验失败则解码单元返回接收状态。
A、将数据顺序移入“帧头判别窗口”。
B、判断窗口的数据是否与“帧头特征数据”相等。不相等则返回步骤A。帧头判断部分的语言描述为:
process_frame_sync:
  process(clk)
  begin
  if falling_edge(clk)then
     if rst=’1’then
        bit count<=″0000000000000″;
     elsif syn_pulse=’1’then
        if((rf0_data(12 downto 0)=sync_head)or(rf0_data_inv(12
downto 0)=sync_head))
             then
                frame_sync<=’1’;
                led_frame_sync<=’1’;
                bit_count<=″0000000000000″;
             elsif rst=’1’or bit_count=8000 then
                frame_sync<=’0’;
                bit_count<=″0000000000000″;
             end if;
           end if;
         end if;
         end process;
C、判断“帧头”是否是“FMO编码”的帧头。是,则数据为“FMO编码”,跳转到步骤H。不是,则数据为“变形FSK编码”,跳转到步骤D。
D、对数据以8比特为字长进行分段。
E、与标准码型进行对比,还原编码前数据。如果对比对失败返回步骤A。
F、计算数据校验和,并检查,如校验失败则返回步骤A。
G、解压缩,转换,获得车号数据,跳转至步骤M。
H、对数据以2比特为字长进行分段。
I、将此数据与前次数据对比,判断是否符合FMO编码规则。如对比失败返回步骤A。
J、将数据异或并取反,还原编码前数据。
K、计算数据CRC校验位并检查,如校验失败则返回步骤A。
L、解压缩,转换,获得车号数据,跳转至步骤M。
M、报文存储和输出控制,报文存储部分利用可编程逻辑器件中的存储资源;存储部分的例化语言描述如下:
u1:ramb4_s8_s8
  port map(
-------------rx data write to buffer
             wea=>’1’,
             ena=>fm0_buf_wen,
             rsta=>rst,
             clka=>clk_inv,
             addra=>fm0_rx_addr,
             dia=>fm0_rx_data,
             doa=>open,
------------- read data from buffer for serial tx
             web=>’0’,
             enb=>’1’,
             rstb=>’0’,
             clkb=>clk_inv,
             addrb=>fm0_tx_addr,
             dib=>fm0_rx_data,
             dob=>fm0_tx_data_temp
         );
步骤E中进行的码型比较即是“变形FSK码”的解码操作。将数据与“变形FSK编码”协议中的标准码型进行比对,当数据符合“10101100”或其反码时,还原为“1”,若数据符合“11001010”或其反码时,还原为“0”,若数据既不符合“1”的码型,也不符合“0”的码型,即可认为数据出错。
步骤F中的校验是“和校验”,对“变形FSK码”标签的数据区中的d59~d0比特进行校验和计算,结果的末两位与标签数据区中d61,d60这两位“第一校验和”进行比较;对数据区中d123~d64(d63,d62为保留位,固定为“11”)进行校验和计算,结果的末两位与标签数据区中d125,d124这两位“第二校验和”进行比较;如果校验通过,则认为数据正确,如果出错,则认为数据错误。
步骤G和步骤L,即是解析报文的过程,将标签数据转换成实际的车号。“变形FSK码”标签中使用6位数据表示ASCII码,所以,在还原实际车号时,需要对这些位进行转换,将6位数据转换成ASCII编码数据,标签数据还在车次字段有压缩,所以需要解压缩,还原实际车号中的车次数据。“FMO码”标签比“变形FSK码”标签的压缩程度高,在多个字段内容使用BCD码表示数字数据,但其解压缩的过程,与“变形FSK码”标签相似。
步骤I中进行的比较是对当前的2比特数据与之前的数据进行比较,看其是否符合FMO编码规则,若当前2比特数据与上次数据的“相邻位”发生变化,即当前数据高位和上次数据低位不同,则可认为是符合编码规则的,否则认为出错。
步骤L中进行的异或操作即是对“FMO码”的解码操作,对异或的结果取反,即可得到编码前的数据。
步骤K中的计算数据的CRC校验位,即以“FMO码”标签编码协议中使用的CRC-16 CCITT多项式X^16+X^12+X^5+1进行“求余”计算。对标签数据的d119~d16进行计算CRC,并与数据区中d15~d0进行CRC校验,通过则认为数据正确,否则数据有错。
步骤M中,存储“变形FSK码处理单元”或“FMO处理单元”解码、解析后的车号数据,在存储的同时锁定输出端口至对应的数据区,即当“变形FSK码处理单元”有正确的数据时,将输出端口锁定到“变形FSK码处理单元”输入并存储的数据区,当“FMO码处理单元”有正确的数据时将输出端口锁定到“FMO码处理单元”输入并存储的数据区。
本发明采取了用效率更高的“FMO编码”替代“变形FSK编码”,提高相同时间传输数据帧次数来满足列车高速运行的要求。在采用射频识别RFID实现的铁路车号自动识别系统中,电子标签的编码遵从ISO-10374国际标准中定义的“变形FSK编码”规范,这种编码中每一个信息位需要8个物理电平位表示,其中“1”的电平编码为“10101100”,“0”的电平编码为“11001010”,物理电平的位速率为80Kbps,传输一位标签数据信息需要100us。编码效率比较低,传输一帧数据所用的时间较长,达到12.8ms。而FMO“1”的电平编码为“11”或“00”,“0”的电平编码为“10”或“01”,当物理电平的位速率同为80Kbps时,传输一位标签数据信息只需要25us,一帧数据只需要3.2ms,因此在相同时间内,FMO编码传输的信息量是“变形FSK编码”的4倍。原来传送1个信息帧的时间现在就可以传送4个信息帧,这样就可以保证以前以128km/h运行的列车的标签被阅读3~4次的天线距离上,用FMO编码可以将512km/h(4×128km/h)运行的列车的标签被阅读3~4次。
变形FSK编码:基于频移键控(FSK)原理,使用两种数字频率来对原始的数据码元进行信源编码。
FMO(Bi-Phase Space):双相间隔码编码,是在一个位窗内采用电平变化与否来表示数据码元的逻辑。
本发明的电子标签码元数据位的设置如表1,本发明的车辆电子标签的内容格式设置及定义见表2,本发明的机车电子标签的内容格式设置及定义见表3。
表1 电子标签数据位的设置
  域名称   位的设置范围及顺序   位数   备注
  帧标志   d127~d120   8   程序域
  用户数据区   d119~d16   104   通用域
  CRC校验位   d15~d0   16   程序域
表2 FMO编码的车辆电子标签的数据格式
表3 FMO编码的机车电子标签数据格式
Figure BDA0000038992170000232
Figure BDA0000038992170000241

Claims (9)

1.一种铁路车号识别系统,其特征在于:所述铁路车号识别系统由电子标签编程器、电子标签、电子标签阅读器天线、电子标签阅读器和上位机组成,电子标签编程器通过数据线与电子标签连接,电子标签阅读器通过射频电缆与电子标签阅读器天线连接,电子标签与电子标签阅读器天线无线连接;所述电子标签编程器由顺序连接的数据收发单元、编码单元和读写控制单元构成;所述数据收发单元用于接收上位机的需要编码的电子标签数据,向编码单元发送电子标签数据信号,接收读写控制单元的电子标签返回信号;所述编码单元用于接收到数据收发单元的电子标签数据信号后,完成对上位机传来的电子标签数据的FM0编码,将编码数据发送到读写控制单元;所述读写控制单元接收到编码数据后,通过数据线向电子标签的控制器发送将经过FM0信息编码的车号数据,收到电子标签正确返回信号或超时未收到电子标签正确返回信号,向数据收发单元发送电子标签编程成功与否的状态信息;电子标签阅读器的解码单元设有顺序连接的位同步单元、帧头识别单元、并联的变形FSK解码处理单元和FM0解码处理单元、报文存储及输出控制单元;所述变形FSK解码处理单元设有顺序连接的FSK码数据分段单元、码型比较单元、FSK码串并转换单元、FSK码报文解析单元;所述FM0解码处理单设有顺序连接的FM0码数据分段单元、异或比较单元、FM0码串并转换单元、FM0码报文解析单元。
2.一种铁路车号识别系统的信息传输方法,包括以下步骤:一、电子标签编程器对电子标签编程,并将编码后的数据写入电子标签的存储器里;二、当电子标签阅读器发射载波照射电子标签时,电子标签通过无线发射的方式将存 储器里的数据以80Kbps的传输速率反射出去;三、电子标签阅读器接收到电子标签的反射信号后,通过解调单元解调出调制数据;四、电子标签阅读器的解码单元对解调出的调制数据进行解码,还原出电子标签数据,获得电子标签内存储的数据信息,经通信单元送往上端计算机;
所述编码包括以下步骤:一、电子标签编程器的数据收发单元从上位机接收待编码的电子标签数据,存放在电子标签编程器的数据收发单元的随机存取存储区(RAM)中;二、编码单元从数据收发单元的随机存取存储区(RAM)中获电子标签数据,存放在编码单元的数据存储模块中,数据存储的顺序按高位在前,低位在后;三、编码单元对每位标签数据进行FM0编码,设置“位数据区”标志为1,判断待编码的数据位为1,按照FM0编码格式先进行一次电平跳变,在数据码元位的25us周期内不再进行电平跳变,经过编码后的标签数据共240位存放在编码单元编码后的数据区中,所述判断待编码的数据位为0,按照FM0编码格式先进行一次电平跳变,在数据码元位的25us周期的中间位置再进行一次电平跳变;四、编码单元对电子标签的帧头位,进行FM0编码,得到16位的帧头位,存放在编码单元编码后的数据区中,放在先前编码的240位编码数据的前面;五、读写控制单元将256位编码后的电子标签数据通过连接电缆,通过串行接口方式发送到电子标签中;
所述解码包括以下步骤:一、解码单元的位同步单元从解调装置接收到报文的解调输出电平信号,提取数据同步时钟,采样,确定报文的数据位,依次向帧头识别单元输出;二、帧头识别单元对采样后的数据进行比较,判断与“帧头特征数据”相等,确定数据帧的起始位置,再区分出是“变形FSK编码”标签的帧头数据还是“FM0编码”标签的帧头数据,将数据输出至变形FSK解码处 理单元或FM0解码处理单元;三、当判断标签针头数据为“变形FSK编码”的针头数据时,FSK码数据分段单元将数据以8比特为字长进行分段,将每8比特与标准码型进行对比,还原出编码前的位数据,顺序输入到FSK码串并转换单元,FSK码串并转换单元接收完成一个完整的标签数据帧后,对数据进行累加和校验,将数据进行动态字长的分段,补充空余的位,依次输入到FSK码报文解析单元,FSK码报文解析单元对数据进行解析还原,获取ASCII编码的实际的车号数据,输出至FSK码报文存储及控制单元;当判断标签针头数据为“FMO编码”的针头数据时,FM0码数据分段单元将数据以2比特为字长进行分段,与前次数据对比,异或比较单元对这2比特数据进行异或运算,再取反,还原出编码前的位数据,顺序输入FM0码串并转换单元,FM0码串并转换单元接收完成一个完整的标签数据帧后对数据进行累加和校验,将数据进行动态字长的分段,补充空余的位,依次输入FM0码报文解析单元,FM0码报文解析单元对数据进行解析还原,获取ASCII编码的实际的车号数据,输出至报文存储及控制单元;四、报文解析单元将车号数据,输出给报文存储及输出控制单元进行存储,与通讯装置通信,输出数据。
3.根据权利要求2所述的铁路车号识别系统的信息传输方法,其特征在于:所述读写控制单元按照CRC16校验法对写入电子标签中的数据进行校验,向数据收发单元发送电子标签编程成功的状态信息;所述电子标签编程器的数据收发单元从上位机接收待编码的电子标签数据,总共128比特;所述编码单元通过串口通信模块,从数据收发单元的随机存取存储区(RAM)中获取128比特的电子标签数据。
4.根据权利要求3所述的铁路车号识别系统的信息传输方法,其特征在于: 所述数据存储的数据排列方式,按“FM0编码”的车辆标签的数据格式表
Figure FDA00002490679600041
和“FM0编码”的机车标签数据格式表
Figure FDA00002490679600042
的排列方式。
5.根据权利要求4所述的铁路车号识别系统的信息传输方法,其特征在于: 所述电子标签编程器的的编码单元从d119位开始,将数据位顺序地移入数据存储模块的“位数据区”,对每位标签数据进行FM0编码;所述编码单元对d127~d120电子标签的帧头位,移入“位数据区”,进行FM0编码,所述d表示原始的电子标签数据中的一个比特。
6.根据权利要求2所述的铁路车号识别系统的信息传输方法,其特征在于:所述解码单元的帧头识别单元判断数据与“帧头特征数据”不相等,返回到解码步骤一。
7.根据权利要求6所述的铁路车号识别系统的信息传输方法,其特征在于:所述解码单元的位同步单元根据该同步时钟对输入信号进行采样;所述FSK码数据分段单元将数据以8比特为字长进行分段,顺序输入8比特移位寄存器;所述累加为对数据段的数据按二进制进行位累加。
8.根据权利要求7所述的铁路车号识别系统的信息传输方法,其特征在于:所述FM0码数据分段单元将数据以2比特为字长进行分段,顺序输入2比特移位寄存器。
9.根据权利要求8所述的铁路车号识别系统的信息传输方法,其特征在于:所述校验将位累加和保留第0位与第1位,其余舍去,比较保留的两位校验和与校验段数据,相等则通过校验;所述对比不成功,返回到解码步骤一;所述校验不正确,返回到解码步骤一。 
CN 201010593932 2010-12-17 2010-12-17 铁路车号识别系统及信息传输方法 Active CN102073886B (zh)

Priority Applications (1)

Application Number Priority Date Filing Date Title
CN 201010593932 CN102073886B (zh) 2010-12-17 2010-12-17 铁路车号识别系统及信息传输方法

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
CN 201010593932 CN102073886B (zh) 2010-12-17 2010-12-17 铁路车号识别系统及信息传输方法

Publications (2)

Publication Number Publication Date
CN102073886A CN102073886A (zh) 2011-05-25
CN102073886B true CN102073886B (zh) 2013-05-15

Family

ID=44032420

Family Applications (1)

Application Number Title Priority Date Filing Date
CN 201010593932 Active CN102073886B (zh) 2010-12-17 2010-12-17 铁路车号识别系统及信息传输方法

Country Status (1)

Country Link
CN (1) CN102073886B (zh)

Families Citing this family (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN104133859B (zh) * 2014-07-15 2018-08-28 株洲南车时代电气股份有限公司 一种显示器数据同步系统和方法
CN106650835B (zh) * 2015-07-22 2020-04-10 深圳市远望谷信息技术股份有限公司 在铁路车号识别系统中提高数据传输可靠性的方法
CN106846533A (zh) * 2015-12-03 2017-06-13 河南蓝信科技股份有限公司 一种动车组司机操控信息车载采集的方法及其装置
CN105550613B (zh) * 2015-12-29 2018-05-29 深圳市金溢科技股份有限公司 一种电子车牌数据解码处理方法及电子车牌读写设备
US9934623B2 (en) * 2016-05-16 2018-04-03 Wi-Tronix Llc Real-time data acquisition and recording system
CN106372555B (zh) * 2016-08-31 2019-01-11 重庆微标科技股份有限公司 提高铁路车号标签识别率的方法和装置
CN109204387B (zh) * 2017-06-30 2020-06-19 比亚迪股份有限公司 列车定位系统和方法
CN110091765A (zh) * 2018-01-31 2019-08-06 株洲中车时代电气股份有限公司 基于电子标签的过分相方法、电子标签、阅读器及系统
CN109067403A (zh) * 2018-08-02 2018-12-21 北京轻威科技有限责任公司 一种主动光标识球编解码方法及系统
CN110171449B (zh) * 2019-06-12 2021-04-13 中国神华能源股份有限公司 列车调向判断方法及装置
CN110843865B (zh) * 2019-11-26 2021-07-30 交控科技股份有限公司 一种列车车厢编组识别系统及方法
CN115276892A (zh) * 2021-04-30 2022-11-01 上海华为技术有限公司 一种信号生成方法、一种信号处理方法以及相关设备

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN101093534A (zh) * 2007-07-13 2007-12-26 江苏瑞福智能科技有限公司 高速货车电子标签数据识别方法
CN101774390A (zh) * 2009-12-31 2010-07-14 深圳市中金岭南有色金属股份有限公司凡口铅锌矿 铁路车号自动识别系统

Family Cites Families (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7303120B2 (en) * 2001-07-10 2007-12-04 American Express Travel Related Services Company, Inc. System for biometric security using a FOB

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN101093534A (zh) * 2007-07-13 2007-12-26 江苏瑞福智能科技有限公司 高速货车电子标签数据识别方法
CN101774390A (zh) * 2009-12-31 2010-07-14 深圳市中金岭南有色金属股份有限公司凡口铅锌矿 铁路车号自动识别系统

Non-Patent Citations (1)

* Cited by examiner, † Cited by third party
Title
王庆文.铁路车号自动识别信息系统.《铁路计算机应用》.2003,第12卷(第5期), *

Also Published As

Publication number Publication date
CN102073886A (zh) 2011-05-25

Similar Documents

Publication Publication Date Title
CN102073886B (zh) 铁路车号识别系统及信息传输方法
US7190257B2 (en) Data encoding in radio frequency identification transponders
KR0160980B1 (ko) 데이타를 원격 태그로부터 읽고 쓰는 고속 시스템
CN100504920C (zh) 非接触式标签及其控制方法和非接触式id识别系统
Khan et al. FSM based Manchester encoder for UHF RFID tag emulator
Shen et al. Separation of multiple passive RFID signals using software defined radio
CN102054157B (zh) 多功能的电子标签信息解码方法及其装置
CN102024160A (zh) 一种在射频识别系统中满足频谱模板的方法及其装置
CN102054186B (zh) 电子标签的信息编码方法及其装置
CN203118006U (zh) 一种超高频rfid阅读器
CN101441703A (zh) 一种超高频射频身份识别系统的编译码电路
CN100483438C (zh) 远距离非接触ic卡读写机具及其方法
CN101814921B (zh) 射频识别阅读器的数字基带系统的编码模块
CN101620663B (zh) 一种在无源射频识别系统中的数据编码方法
CN101252411A (zh) 射频识别数据通信中数据帧结尾的检测方法
CN1862565B (zh) 被动式射频识别系统的编码方法
US20090322483A1 (en) Method for coded data transmission between a base station and at least one transponder within a wireless data transmission system
CN103795428B (zh) 射频识别数据通信中解码器
CN106295738B (zh) 一种车号识别装置、方法及系统
CN104598943A (zh) 一种应答器读写方法及其读写装置
CN101616109A (zh) 一种数据传输方法
CN105718835A (zh) 一种数字整形电路
CN101996300A (zh) 射频识别系统中标签的清点方法及一种标签
CN104639482A (zh) 解码type b卡片发送的bpsk调制信号的解码器
CN106384417A (zh) 一种基于超高频rfid技术的车辆识别系统

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant
PE01 Entry into force of the registration of the contract for pledge of patent right

Denomination of invention: Railway Vehicle Number Recognition System and Information Transmission Method

Effective date of registration: 20231213

Granted publication date: 20130515

Pledgee: Shenzhen hi tech investment small loan Co.,Ltd.

Pledgor: INVENGO INFORMATION TECHNOLOGY Co.,Ltd.

Registration number: Y2023980071369

PE01 Entry into force of the registration of the contract for pledge of patent right