CN102054157B - 多功能的电子标签信息解码方法及其装置 - Google Patents

多功能的电子标签信息解码方法及其装置 Download PDF

Info

Publication number
CN102054157B
CN102054157B CN 201010593903 CN201010593903A CN102054157B CN 102054157 B CN102054157 B CN 102054157B CN 201010593903 CN201010593903 CN 201010593903 CN 201010593903 A CN201010593903 A CN 201010593903A CN 102054157 B CN102054157 B CN 102054157B
Authority
CN
China
Prior art keywords
data
unit
fsk
coding
code
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
CN 201010593903
Other languages
English (en)
Other versions
CN102054157A (zh
Inventor
徐玉锁
陈长安
冯汉炯
武岳山
熊泽渝
薛军兴
陈晶
何方勇
黎景明
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Invengo Information Technology Co Ltd
Original Assignee
Invengo Information Technology Co Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Invengo Information Technology Co Ltd filed Critical Invengo Information Technology Co Ltd
Priority to CN 201010593903 priority Critical patent/CN102054157B/zh
Publication of CN102054157A publication Critical patent/CN102054157A/zh
Application granted granted Critical
Publication of CN102054157B publication Critical patent/CN102054157B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Landscapes

  • Digital Transmission Methods That Use Modulated Carrier Waves (AREA)

Abstract

本发明公开了一种多功能的电子标签信息解码方法及其装置,要解决的技术问题是兼容“变形FSK编码”和“FM0编码”两种电子标签。本发明的方法,包括以下步骤:解码装置采样,帧头识别单元将数据输出至变形FSK解码处理单元或FM0解码处理单元解码,报文解析单元与通讯装置通信,输出数据。本发明的装置,设有顺序连接的位同步单元、帧头识别单元、并联的变形FSK解码处理单元和FM0解码处理单元、报文存储及输出控制单元。本发明与现有技术相比,兼容解码现有的“变形FSK编码”电子标签和适应高速的“FM0编码”标签,硬件结构简单、成本低、功耗低,适用于铁路车号识别系统的变形FSK编码和FM0编码的解码。

Description

多功能的电子标签信息解码方法及其装置
技术领域
本发明涉及一种电子标签的解码方法及其装置,特别是一种应用于铁路车号识别系统的电子标签的信息解码方法及其装置。
背景技术
随着国内列车运行速度的不断提高,运行密度的逐步加大,铁路运输管理对车号自动识别系统所能适应的车速和电子标签的识别率提出了更高的要求。与车号自动识别系统现有技术中采用的“变形频移键控FSK(Frequency-shiftkeying)编码”相比较,采用“双相间隔码编码FM0(Bi-Phase Space)编码”的效率更高,能够很好的满足车速适应能力和标签识别率的要求。为了在车号识别系统中采用“FM0编码”,需要设计“读写器”(AEI地面读出设备)的解码方案;解码时能够自适应电子标签的类型,以便于发挥FM0编码的优势,同时又能兼容现有技术的变形FSK编码的电子标签。这样,在“变形FSK编码”标签应用向“FM0编码”标签应用的过渡期期间,不影响全路车号识别系统的正常运行。
发明内容
本发明的目的是提供一种多功能的电子标签信息解码方法及其装置,要解决的技术问题是兼容“变形FSK编码”和“FM0编码”两种电子标签。
本发明采用以下技术方案:一种多功能的电子标签信息解码方法,包括以下步骤:一、解码装置的位同步单元从解调装置接收到报文的解调输出电平信号,提取数据同步时钟,采样,确定报文的数据位,依次向帧头识别单元输出;二、帧头识别单元对采样后的数据进行比较,判断与“帧头特征数据”相等,确定数据帧的起始位置,再区分出是“变形FSK编码”标签的帧头数据还是“FM0编码”标签的帧头数据,将数据输出至变形FSK解码处理单元或FM0解码处理单元;三、FSK码数据分段单元将数据以8比特为字长进行分段,将每8比特与标准码型进行对比,还原出编码前的位数据,顺序输入到FSK码串并转换单元,FSK码串并转换单元接收完成一个完整的标签数据帧后,对数据进行累加和校验,将数据进行动态字长的分段,补充空余的位,依次输入到FSK码报文解析单元,FSK码报文解析单元对数据进行解析还原,获取ASCII编码的实际的车号数据,输出至FSK码报文存储及控制单元;或FM0码数据分段单元将数据以2比特为字长进行分段,与前次数据对比,异或比较单元对这2比特数据进行异或运算,再取反,还原出编码前的位数据,顺序输入FM0码串并转换单元,FM0码串并转换单元接收完成一个完整的标签数据帧后对数据进行累加和校验,将数据进行动态字长的分段,补充空余的位,依次输入FM0码报文解析单元,FM0码报文解析单元对数据进行解析还原,获取ASCII编码的实际的车号数据,输出至报文存储及控制单元;四、报文解析单元将车号数据,输出给报文存储及输出控制单元进行存储,与通讯装置通信,输出数据。
本发明的解码装置的位同步单元根据该同步时钟对输入信号进行采样。
本发明的帧头识别单元判断数据与“帧头特征数据”不相等,返回到步骤。
本发明的FSK码数据分段单元将数据以8比特为字长进行分段,顺序输入8比特移位寄存器。
本发明的累加为对数据段的数据按二进制进行位累加。
本发明的校验将位累加和保留第0位与第1位,其余舍去,比较保留的两位校验和与校验段数据,相等则通过校验。
本发明的FM0码数据分段单元将数据以2比特为字长进行分段,顺序输入2比特移位寄存器。
本发明的对比不成功,返回到步骤一;所述校验不正确,返回到步骤一。
一种多功能的电子标签信息解码装置,设有顺序连接的位同步单元、帧头识别单元、并联的变形FSK解码处理单元和FM0解码处理单元、报文存储及输出控制单元;所述变形FSK解码处理单元设有顺序连接的FSK码数据分段单元、码型比较单元、FSK码串并转换单元、FSK码报文解析单元;所述FM0解码处理单设有顺序连接的FM0码数据分段单元、异或比较单元、FM0码串并转换单元、FM0码报文解析单元。
本发明的多功能的电子标签信息解码装置采用现场可编程门阵列器件。
本发明与现有技术相比,从一帧“变形FSK编码”标签或“FM0编码”标签的数据收完开始至正确解码、解析、存储后产生正确指示标志时为止,解码耗时平均小于0.2ms,兼容解码现有的“变形FSK编码”电子标签和适应高速的“FM0编码”标签,两种编码方式的自适应解码,无论是“变形FSK编码”标签,还是“FM0编码”标签,都能正确解码、解析,输出正确的车号数据,即使两种标签在机车、车辆上混合安装,本发明的解码方法也不会影响铁路车号自动识别系统的运行,硬件结构简单、成本低、功耗低,其解码操作具有较佳的准确性和实时性,适用于铁路车号识别系统的“变形FSK编码”标签应用向“FM0编码”标签应用的过渡期期间和“FM0编码”。
附图说明
图1是本发明实施例的解码装置结构框图。
图2是本发明实施例的解码方法流程图。
图3是变形FSK码型的示意图。
具体实施方式
下面结合附图和实施例对本发明作进一步详细说明。
采用“变形FSK编码”格式的车辆电子标签及机车电子标签的数据码元格式分别如表1和表2所示。采用“FM0编码”格式的车辆标签及机车标签的数据码元格式分别如表3和表4所示。
如图2所示,本发明的多功能的电子标签信息解码方法,包括以下步骤:
一、位同步,解码装置的位同步单元从解调装置接收到报文的解调输出电平信号,提取数据同步时钟,根据该同步时钟对输入信号进行采样,确定报文的数据位,依次向帧头识别单元输出,即将数据顺序移入“帧头判别窗口”。
二、帧头识别,解码装置的帧头识别单元识别报文的帧头,对采样后的数据串行移位至数据窗口,进行比较,判断窗口的数据位与“帧头特征数据”相等,确定数据帧的起始位置。再根据窗口数据与帧头特征数据的比对结果区分出是“变形FSK编码”标签的帧头数据还是“FM0编码”标签的帧头数据,然后将数据输出至变形FSK解码处理单元或FM0解码处理单元。判断窗口的数据位与“帧头特征数据”不相等,返回到步骤一。
三、“变形FSK码”处理,变形FSK解码处理单元完成对采用“变形FSK编码”的报文的解码、解析,输出解析后的报文数据。具体为:当数据进入变形FSK编码处理单元后,FSK码数据分段单元将数据以8比特为字长进行分段,顺序输入8比特移位寄存器,码型比较单元将每8比特与标准码型进行对比,根据标准码型的具体类型,还原出(解码)编码前的位数据,顺序输入到FSK码串并转换单元,对比不成功返回步骤一。如图3所示,标准码型的一个数据码元位bit“0”是由一个周期的20KHz方波和紧随着的二个周期的40KHz方波组成;一个数据码元位bit“1”是由二个周期的40KHz方波和紧随着一个周期的20KHz方波组成。FSK码串并转换单元接收完成一个完整的标签数据帧后,对数据进行按位累加和比较校验,校验正确后根据变形FSK编码标签的数据帧协议,将数据进行动态字长的分段,并补充空余的位,依次输入到FSK码报文解析单元,校验不正确,返回步骤一。FSK码报文解析单元再根据变形FSK编码标签的数据格式,对数据进行相应的解析还原,获取美国标准信息交换码ASCII编码的实际的车号数据,输出至FSK码报文存储及控制单元。
“FM0码”处理,FM0解码处理单元完成对采用“FM0编码”的报文的解码、解析,输出解析后的报文数据。具体为:当数据进入FM0编码处理单元后,FM0码数据分段单元将数据以2比特为字长进行分段,顺序输入2比特移位寄存器,与前次数据对比,若数据为首位数据则无需对比操作,对比成功后,异或比较单元对这2比特数据进行异或运算,再取反,还原出编码前的位数据,顺序输入FM0码串并转换单元,对比成功返回步骤一。FM0码串并转换单元接收完成一个完整的标签数据帧后对数据进行按位累加和比较校验,对数据段的数据按二进制进行位累加,将位累加和保留第0位与第1位,其余舍去,比较保留的两位校验和与校验段数据,相等则通过校验。校验正确后根据FM0编码标签的数据帧协议,将数据进行动态字长的分段,并补充空余的位,依次输入FM0码报文解析单元,校验不正确,返回步骤一。FM0码报文解析单元根据“FM0编码”标签的数据帧格式,对数据进行相应的解析还原,获取ASCII编码的实际的车号数据,输出至报文存储及控制单元。
四、报文存储及输出控制,报文解析单元将“变形FSK码”处理、解析后的报文,或“FM0码”处理、解析后的车号数据报文,输出给报文存储及输出控制单元进行存储,通过与通讯装置的通信,来实现数据的输出。
如图1所示,本发明的多功能的电子标签信息解码装置,设有顺序连接的位同步单元、帧头识别单元、并联的变形FSK解码处理单元和FM0解码处理单元、报文存储及输出控制单元。所述多功能的电子标签信息解码装置采用现场可编程门阵列器件FPGA。
所述变形FSK解码处理单元设有顺序连接的FSK码数据分段单元、码型比较单元、FSK码串并转换单元、FSK码报文解析单元,其中,
FSK码数据分段单元用于将位同步单元输出的报文数据流以8位比特为单位进行分段,并将分段的报文数据以8位字长输出到码型比较单元。
码型比较单元将输入的8位字长的数据与标准码型对比,还原出编码前的数据,并顺序输出。
FSK码串并转换单元按照标签编码协议将解码后的数据进行动态字长的分段,并补充空余位,以特定位字长输出到报文解析单元。
FSK码报文解析单元根据标签编码协议,对输入的特定比特字长的数据中的某些部分进行解压缩,逆转换,计算校验位,获得实际的车号数据,以特定比特字长输出。
所述FM0解码处理单设有顺序连接的FM0码数据分段单元、异或比较单元、FM0码串并转换单元、FM0码报文解析单元,其中,
FM0码数据分段单元用于将位同步单元输出的报文数据流以2位比特为单位进行分段,实现串进并出功能,将分段的报文数据以2位字长输出到异或比较单元。
异或比较单元将输入的2位字长的数据异或并取反,还原出编码前的比特流数据,并顺序输出。
FM0码串并转换单元,按照FM0标签编码协议将解码后的数据进行动态字长的分段,并补充空余位,以特定位字长输出到报文解析单元。
FM0码报文解析单元,根据FM0标签编码数据格式,对输入的特定比特字长的数据中的某些部分进行解压缩,转换,计算校验位等,获得实际的车号数据,以特定比特字长输出。
位同步单元从解调装置接收到报文的解调输出电平信号,提取数据同步时钟,根据该同步时钟对输入信号进行采样,确定报文的数据位,依次向帧头识别单元输出。
帧头识别单元采用一个16比特移位寄存器构成的帧头判别窗,判断数据是否是帧头数据。如确定是帧头数据,再区分出是变形FSK编码标签的帧头数据,还是FM0编码标签的帧头数据,然后将数据输出至变形FSK解码处理单元或FM0解码处理单元。
报文存储及控制单元拥有随机存储器,用于存储变形FSK解码处理单元或FM0解码处理单元输出的车号数据报文。当变形FSK解码处理单元成功写入(收到)数据报文后,报文存储及控制单元中的控制逻辑模块通过设置在内部的逻辑开关阵列将输出通道暂时锁定在变形FSK解码处理单元刚写入的数据存储区上。同样,当FM0解码处理单元成功写入数据报文后,输出通道则暂时锁定在FM0解码处理单元刚写入的数据存储区上。
与报文存储及控制单元连接的通讯装置通过报文存储及控制单元的输出通道读取车号数据报文。
实施例,FPGA采用美国Xilinx公司Spartan2 XC2S50,采用VHDL语言,版本为VHDL-93。
A、将数据顺序移入“帧头判别窗口”。
B、判断窗口的数据是否与“帧头特征数据”相等。不相等则返回步骤A。帧头判断部分的语言描述为:
     process_frame_sync:
       process(clk)
       begin
       if falling_edge(clk)then
          if rst=’1’then
             bit_count<=″0000000000000″;
          elsif syn_pulse=’1’then
             if((rf0_data(12 downto 0)=sync_head)or(rf0_data_inv(12
downto 0)=sync_head))
             then
                frame_sync<=’1’;
                led_frame_sync<=’1’;
                bit_count<=″0000000000000″;
       elsif rst=’1’or bit_count=8000 then
         frame_sync<=’0’;
         bit_count<=″0000000000000″;
      end if;
   end if;
end if;
end process;
C、判断“帧头”是否是“FM0编码”的帧头。是,则数据为“FM0编码”,跳转到步骤H。不是,则数据为“变形FSK编码”,跳转到步骤D。
D、对数据以8比特为字长进行分段。
E、与标准码型进行对比,还原编码前数据。如果对比对失败返回步骤A。
F、计算数据校验和,并检查,如校验失败则返回步骤A。
G、解压缩,转换,获得车号数据,跳转至步骤M。
H、对数据以2比特为字长进行分段。
I、将此数据与前次数据对比,判断是否符合FM0编码规则。如对比失败返回步骤A。
J、将数据异或并取反,还原编码前数据。
K、计算数据CRC校验位并检查,如校验失败则返回步骤A。
L、解压缩,转换,获得车号数据,跳转至步骤M。
M、报文存储和输出控制,报文存储部分利用可编程逻辑器件中的存储资源;存储部分的例化语言描述如下:
u1:ramb4_s8_s8
  port map(
-------------  rx data write to buffer
               wea=>’1’,
               ena=>fm0_buf_wen,
               rsta=>rst,
               clka=>clk_inv,
               addra=>fm0_rx_addr,
               dia=>fm0_rx_data,
               doa=>open,
-------------   read data from buffer for serial tx
               web=>’0’,
               enb=>’1’,
               rstb=>’0’,
               clkb=>clk_inv,
               addrb=>fm0_tx_addr,
               dib=>fm0_rx_data,
               dob=>fm0_tx_data_temp
          );
步骤E中进行的码型比较即是“变形FSK码”的解码操作。将数据与“变形FSK编码”协议中的标准码型进行比对,当数据符合“10101100”或其反码时,还原为“1”,若数据符合“11001010”或其反码时,还原为“0”,若数据既不符合“1”的码型,也不符合“0”的码型,即可认为数据出错。
步骤F中的校验是“和校验”,对“变形FSK码”标签的数据区中的d59~d0比特进行校验和计算,结果的末两位与标签数据区中d61,d60这两位“第一校验和”进行比较;对数据区中d123~d64(d63,d62为保留位,固定为“11”)进行校验和计算,结果的末两位与标签数据区中d125,d124这两位“第二校验和”进行比较;如果校验通过,则认为数据正确,如果出错,则认为数据错误。
步骤G和步骤L,即是解析报文的过程,将标签数据转换成实际的车号。“变形FSK码”标签中使用6位数据表示ASCII码,所以,在还原实际车号时,需要对这些位进行转换,将6位数据转换成ASCII编码数据,标签数据还在车次字段有压缩,所以需要解压缩,还原实际车号中的车次数据。“FM0码”标签比“变形FSK码”标签的压缩程度高,在多个字段内容使用BCD码表示数字数据,但其解压缩的过程,与“变形FSK码”标签相似。
步骤I中进行的比较是对当前的2比特数据与之前的数据进行比较,看其是否符合FM0编码规则,若当前2比特数据与上次数据的“相邻位”发生变化,即当前数据高位和上次数据低位不同,则可认为是符合编码规则的,否则认为出错。
步骤L中进行的异或操作即是对“FM0码”的解码操作,对异或的结果取反,即可得到编码前的数据。
步骤K中的计算数据的CRC校验位,即以“FM0码”标签编码协议中使用的CRC-16CCITT多项式X^16+X^12+X^5+1进行“求余”计算。对标签数据的d119~d16进行计算CRC,并与数据区中d15~d0进行CRC校验,通过则认为数据正确,否则数据有错。
步骤M中,存储“变形FSK码处理单元”或“FM0处理单元”解码、解析后的车号数据,在存储的同时锁定输出端口至对应的数据区,即当“变形FSK码处理单元”有正确的数据时,将输出端口锁定到“变形FSK码处理单元”输入并存储的数据区,当“FM0码处理单元”有正确的数据时将输出端口锁定到“FM0码处理单元”输入并存储的数据区。
表1“变形FSK编码”车辆电子标签的数据格式
Figure BDA0000038955930000121
表2“变形FSK编码”机车电子标签的数据格式
Figure BDA0000038955930000122
Figure BDA0000038955930000131
表3FM0编码的车辆电子标签的数据格式
Figure BDA0000038955930000132
表4FM0编码的机车电子标签数据格式
Figure BDA0000038955930000133
Figure BDA0000038955930000141

Claims (10)

1.一种多功能的电子标签信息解码方法,包括以下步骤:一、解码装置的位同步单元从解调装置接收到报文的解调输出电平信号,提取数据同步时钟,采样,确定报文的数据位,依次向帧头识别单元输出;二、帧头识别单元对采样后的数据串行移位至数据窗口,进行比较,判断窗口的数据位与“帧头特征数据”相等,确定数据帧的起始位置,再根据窗口数据与帧头特征数据的比对结果区分出是“变形FSK编码”标签的帧头数据还是“FM0编码”标签的帧头数据,然后将数据输出至变形FSK解码处理单元或FM0解码处理单元,判断窗口的数据位与“帧头特征数据”不相等,返回到步骤一;三、当判断标签帧头数据为“变形FSK编码”的帧头数据时,FSK码数据分段单元将数据以8比特为字长进行分段,将每8比特与标准码型进行对比,还原出编码前的位数据,顺序输入到FSK码串并转换单元,FSK码串并转换单元接收完成一个完整的标签数据帧后,对数据进行累加和校验,将数据进行动态字长的分段,补充空余的位,依次输入到FSK码报文解析单元,FSK码报文解析单元对数据进行解析还原,获取ASCII编码的实际的车号数据,输出至FSK码报文存储及控制单元;当判断标签帧头数据为“FMO编码”的帧头数据时,FM0码数据分段单元将数据以2比特为字长进行分段,与前次数据对比,异或比较单元对这2比特数据进行异或运算,再取反,还原出编码前的位数据,顺序输入FM0码串并转换单元,FM0码串并转换单元接收完成一个完整的标签数据帧后对数据进行累加和校验,将数据进行动态字长的分段,补充空余的位,依次输入FM0码报文解析单元,FM0码报文解析单元对数据进行解析还原,获取ASCII编码的实际的车号数据,输出至报文存储及控制单元;四、报文解析单元将车号数据,输出给报文存储及输出控制单元进行存储,与通讯装置通信,输出数据;所述车号数据为将标签数据中使用6位数据转换成ASCII编码数据,并解压标签数据中的车次字段,还原实际车号中的车次数据。
2.根据权利要求1所述的多功能的电子标签信息解码方法,其特征在于:所述解码装置的位同步单元根据该同步时钟对输入信号进行采样。
3.根据权利要求2所述的多功能的电子标签信息解码方法,其特征在于:所述帧头识别单元判断数据与“帧头特征数据”不相等,返回到步骤一。
4.根据权利要求3所述的多功能的电子标签信息解码方法,其特征在于:所述FSK码数据分段单元将数据以8比特为字长进行分段,顺序输入8比特移位寄存器。
5.根据权利要求4所述的多功能的电子标签信息解码方法,其特征在于:所述累加为对数据段的数据按二进制进行位累加。
6.根据权利要求5所述的多功能的电子标签信息解码方法,其特征在于:所述校验将位累加和保留第0位与第1位,其余舍去,比较保留的两位校验和与校验段数据,相等则通过校验。
7.根据权利要求6所述的多功能的电子标签信息解码方法,其特征在于:所述FM0码数据分段单元将数据以2比特为字长进行分段,顺序输入2比特移位寄存器。
8.根据权利要求7所述的多功能的电子标签信息解码方法,其特征在于:所述对比不成功,返回到步骤一;所述校验不正确,返回到步骤一。
9.一种多功能的电子标签信息解码装置,其特征在于:所述多功能的电子标签信息解码装置设有顺序连接的位同步单元、帧头识别单元、变形FSK解码处理单元、FM0解码处理单元、报文存储及输出控制单元;所述变形FSK解码处理单元与FM0解码处理单元并联后与报文存储及输出控制单元串联;所述变形FSK解码处理单元设有顺序连接的FSK码数据分段单元、码型比较单元、FSK码串并转换单元、FSK码报文解析单元;所述FM0解码处理单元设有顺序连接的FM0码数据分段单元、异或比较单元、FM0码串并转换单元、FM0码报文解析单元。
10.根据权利要求9所述的多功能的电子标签信息解码装置,其特征在于:所述多功能的电子标签信息解码装置采用现场可编程门阵列器件(FPGA)。
CN 201010593903 2010-12-17 2010-12-17 多功能的电子标签信息解码方法及其装置 Active CN102054157B (zh)

Priority Applications (1)

Application Number Priority Date Filing Date Title
CN 201010593903 CN102054157B (zh) 2010-12-17 2010-12-17 多功能的电子标签信息解码方法及其装置

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
CN 201010593903 CN102054157B (zh) 2010-12-17 2010-12-17 多功能的电子标签信息解码方法及其装置

Publications (2)

Publication Number Publication Date
CN102054157A CN102054157A (zh) 2011-05-11
CN102054157B true CN102054157B (zh) 2013-05-15

Family

ID=43958459

Family Applications (1)

Application Number Title Priority Date Filing Date
CN 201010593903 Active CN102054157B (zh) 2010-12-17 2010-12-17 多功能的电子标签信息解码方法及其装置

Country Status (1)

Country Link
CN (1) CN102054157B (zh)

Families Citing this family (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN103679079B (zh) * 2012-09-20 2018-01-12 天津中兴智联科技有限公司 有源标签数据接收方法及装置
US9934623B2 (en) * 2016-05-16 2018-04-03 Wi-Tronix Llc Real-time data acquisition and recording system
CN106741011A (zh) * 2016-12-09 2017-05-31 深圳市远望谷信息技术股份有限公司 一种取得列车车辆动态数据的方法
CN106603088B (zh) * 2016-12-22 2019-05-10 深圳市盈科互动科技有限公司 在mcu内进行无线射频解码的方法及装置
CN109670353B (zh) * 2017-10-13 2021-10-22 重庆微标科技股份有限公司 一种电子设备及射频标签的解码纠错方法
CN110138501B (zh) * 2018-02-08 2021-09-24 北京万集科技股份有限公司 一种fm0编码的解码方法和装置
CN109145664A (zh) * 2018-08-20 2019-01-04 成都九洲电子信息系统股份有限公司 一种提高uhf读写器多标签识读能力的方法

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN101093534A (zh) * 2007-07-13 2007-12-26 江苏瑞福智能科技有限公司 高速货车电子标签数据识别方法
CN101571906A (zh) * 2009-06-02 2009-11-04 北京大学深圳研究生院 一种rfid接收机的解码器和解码方法
CN101774390A (zh) * 2009-12-31 2010-07-14 深圳市中金岭南有色金属股份有限公司凡口铅锌矿 铁路车号自动识别系统

Family Cites Families (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7734994B2 (en) * 2006-07-20 2010-06-08 Broadcom Company RFID decoding subsystem with pre-decode module

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN101093534A (zh) * 2007-07-13 2007-12-26 江苏瑞福智能科技有限公司 高速货车电子标签数据识别方法
CN101571906A (zh) * 2009-06-02 2009-11-04 北京大学深圳研究生院 一种rfid接收机的解码器和解码方法
CN101774390A (zh) * 2009-12-31 2010-07-14 深圳市中金岭南有色金属股份有限公司凡口铅锌矿 铁路车号自动识别系统

Non-Patent Citations (2)

* Cited by examiner, † Cited by third party
Title
《基于神经网络的FSK信号解调技术研究》;李岷;《中国优秀硕士学位论文全文数据库》;20091115(第11期);7-9 *
李岷.《基于神经网络的FSK信号解调技术研究》.《中国优秀硕士学位论文全文数据库》.2009,(第11期),7-9.

Also Published As

Publication number Publication date
CN102054157A (zh) 2011-05-11

Similar Documents

Publication Publication Date Title
CN102054157B (zh) 多功能的电子标签信息解码方法及其装置
CN102073886B (zh) 铁路车号识别系统及信息传输方法
CN101571906B (zh) 一种rfid接收机的解码器和解码方法
CN103095407B (zh) 读写器芯片数字编码装置及应用该装置的编码方法
CN105208034A (zh) 一种spi总线与can总线协议转换电路及方法
CN103258228A (zh) 超高频rfid读写器、基带soc芯片及接口控制方法
US5200980A (en) Digital bi phase data recovery system
CN103095622B (zh) 一种适用于iso14443协议的bpsk信号恢复电路
CN101739541B (zh) 一种适用于pie编码的解码器
CN102054186A (zh) 电子标签的信息编码方法及其装置
TW525105B (en) Encoding/decoding system for coherent signal interference reduction
CN101252411B (zh) 射频识别数据通信中数据帧结尾的检测方法
CN106921463A (zh) 一种抗干扰解码方法及系统
CN101620663B (zh) 一种在无源射频识别系统中的数据编码方法
CN104639482B (zh) 解码type b卡片发送的bpsk调制信号的解码器
CN102043936A (zh) 射频识别系统中的信息传输方法及系统
CN104639176A (zh) Bmc信号的异步解码器及方法
CN201392538Y (zh) 适用于pie编码的解码器
CN101540656B (zh) 用于ctcs点式应答器的解码装置和解码方法
CN103065188A (zh) 一种非接触式ic卡的解码电路
CN103795428B (zh) 射频识别数据通信中解码器
CN102932103B (zh) 一种基于数字化变电站的数据传输速率自适应接收方法
CN105718835A (zh) 一种数字整形电路
CN103731237A (zh) 面向双相间隔码的解码方法、装置、设备和通信系统
CN102946255B (zh) 用于无源射频识别系统的数据编解码方法

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant