CN101995546B - Automatic test system and method of programmable logic device on basis of boundary scan - Google Patents

Automatic test system and method of programmable logic device on basis of boundary scan Download PDF

Info

Publication number
CN101995546B
CN101995546B CN 201010545055 CN201010545055A CN101995546B CN 101995546 B CN101995546 B CN 101995546B CN 201010545055 CN201010545055 CN 201010545055 CN 201010545055 A CN201010545055 A CN 201010545055A CN 101995546 B CN101995546 B CN 101995546B
Authority
CN
China
Prior art keywords
test
state
chip
software
instruction
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
CN 201010545055
Other languages
Chinese (zh)
Other versions
CN101995546A (en
Inventor
王伶俐
王颖
周学功
童家榕
包杰
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Fudan University
Original Assignee
Fudan University
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Fudan University filed Critical Fudan University
Priority to CN 201010545055 priority Critical patent/CN101995546B/en
Publication of CN101995546A publication Critical patent/CN101995546A/en
Application granted granted Critical
Publication of CN101995546B publication Critical patent/CN101995546B/en
Expired - Fee Related legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Landscapes

  • Test And Diagnosis Of Digital Computers (AREA)
  • Tests Of Electronic Circuits (AREA)

Abstract

The invention belongs to the field of electronic technology, in particular to an automatic test system and method of a programmable logic device on the basis of boundary scan. The test method comprises the following steps: generating a chip configuration file; downloading and configuring an FPGA (field programmable gate array) chip; generating and loading a test vector; comparing test results; building a corresponding test system; and completely realizing automation. In the invention, the test vector of an item to be tested is automatically generated by a user through software, and the on-line test of the hardware function of a user circuit is realized by combining JTAG (joint test action group) automatic downloading test software. Scripted test environment converts a series of complex manual test operation into full automatic software flow so as to greatly improve test speed and accuracy.

Description

Programmable logic device (PLD) Auto-Test System and method based on boundary scan
Technical field
The invention belongs to electronic technology field, be specifically related to a kind of automatic test approach of programming device.
Background technology
Field programmable gate array (Field Programmable Gate Array, FPGA), it is at programmable logic array (Programmable Array Logic, PAL), generic array logic (Generic Array Logic, GAL), the product that further develops on the basis of the programming device such as CPLD (Complex Programmable Logic Device, CPLD).It is at first as special IC (Application Specific Integrated Circuit, ASIC) approach of prototype functional verification and occurring, both solve the deficiency of custom circuit, overcome again the limited shortcoming of original programming device gate circuit number.
Because the FPGA design cycle is short, Time To Market is fast, non-repetition engineering cost (Non-Recursive Engineering, NRE) low characteristics, have dynamically reconfigurable characteristic in addition, thereby in the fields such as commercial communication, consumer electronics product, automobile, medical treatment, obtain a wide range of applications.
Yet along with the explosive growth of fpga chip complicacy and density, the challenge that chip testing is faced is also increasing.Traditional method of testing is that chip is placed on the special exploitation motherboard, bit stream file is downloaded in the chip, then produce the input signal of appointment by signal generator, act on the port on the chip, be whether the signal of external each output pins of observation such as LED lamp of chip meets expection, the correctness of artificial judgment bit stream file by CRO coupling or mother matrix again.This method efficient is very low, can not do batch testing, can only observe roughly the signal intensity situation of limited output pin, and is easy to occur mistake.
The second method of testing is to use commercial on-line debugging software, such as the SignalTap of altera corp and the ChipScope of Xilinx company etc.This type of debugging software design software general and product company supports the use, can catch with the display chip system in the state [1] of live signal, but these debugging softwares are only supported the chip that own company produces, and whole flow process also must be carried out in corresponding supporting design software.This method versatility is not high, can not be used for testing self-designed fpga chip and autonomous design software flow process.
The third method is to use commercial test macro, such as the Agilent 93000 Pin Scale test machines [2] of Agilent Technologies.This method has high universalizable, high accuracy, but its corresponding software kit is comparatively complicated, and learning cost is higher, and the hardware support kit price is very expensive, does not meet the requirement of testing fast at lower cost.
In order to overcome the various shortcoming of above method, the present invention has realized a kind of based on JTAG(Joint Test Action Group) the automatic download test method of [3] boundary scan technique, satisfied fast and accurately mass test request.
List of references
[1]Altera, “Design Debugging Using the SignalTap II Embedded Logic Analyzer”, 2009;
[2]Verigy, V93000 Technical Documentation Center, “Verigy V93000 SmarTest 6.5.3 Help Documentation”, 2010;
[3]IEEE Standards, Piscataway, N.J., “ANSI/IEEE Std 1149.1-1990 Standard Test Access Port and Boundary-Scan Architecture”, 1993。
Summary of the invention
The object of the present invention is to provide a kind of energy to satisfy fast and accurately mass test request, and low programmable logic device (PLD) Auto-Test System and the method for learning cost.
Programmable logic device (PLD) automatic test approach provided by the invention is a kind of based on JTAG(Joint Test Action Group) the automatic download test method of [3] boundary scan technique.Specifically comprise generation, the download configuration fpga chip of chip configuration file, generation and the loading of test vector, and test result relatively waits, and made up corresponding test macro, all realize robotization, make things convenient for the user that design circuit is carried out simulation hardware, greatly improve efficient and the accuracy of test.Be specifically described as follows:
1. based on the jtag boundary scanning technique
The jtag test method provides very high test coverage, in any case simultaneously complicated circuit only needs simple 4 ports (if comprise asynchronous reset signal TRST then be 5 ports) can realize test, this interface is known as again TAP(Test Access Port), this scheme has alleviated chip does not greatly have enough physical addresss to be used for the problem of access.These TAP ports are respectively: test clock TCK(input), test mode selects the TMS(input), serial test data input TDI(input), serial test data output TDO(output).
The core of jtag test is the TAP controller, and its effect is that the tms signal of serial input is deciphered, and makes border scanning system enter corresponding mode of operation, and produces needed each control signal under this pattern.In the IEEE1149.1 standard, the decoding state of TMS list entries and TAP controller has been provided constitutional diagram as shown in Figure 1, the value of 0 or 1 numeral TMS on the arrow limit.
After system powers on, the TAP controller enters (Test-Logic-Reset) state that resets, the mode of operation of JTAG at first will be set, need the update instruction register for this reason, concrete state flow path switch is as follows: " free time " (Run-Test/Idle), " selection data register " (Select-DR-Scan), " selection instruction register " (Select-IR-Scan), " catch instruction " (Capture-IR), " instruction shift " (Shift-IR), (Exit1-IR), " instruction comes into force " (Update-IR) gets back to " free time " state at last " to finish 1 "." catching instruction " state in said process, old director data is loaded in the middle of the order register; Then enter into " instruction shift " state, under this state, by the driving of TCK, a new specific instruction can be pushed in the middle of the order register; Again to " instruction comes into force " state, just now be input to instruction in the order register with decoded and make instruction formally effective, specify TDI, TDO port be connected with specific data register (as shown in Figure 2); At last, enter into " free time " state, wait for the next round control flow.
The test data register group that is shown in Figure 2, mainly comprise boundary scan register (Boundary Scan Register), bypass register (Bypass Register), device identification register (Identification Register), configuration register (Config Register) and order register (Instruction Register).Boundary scan register is most important work register in the boundary scan testing, and it finishes the input of test vector, the essential operation of the tests such as output latch and displacement (Fig. 2 make a circle outward register be boundary scan register); Bypass register is exactly a bit register in fact, and it gets up the boundary scan chain short circuit of this chip, is mainly used in multi-chip series connection test, and whether the basic function that also can be used for testing JTAG is normal; The device identification register is one 32 standard register, and its content comprises the information such as the version number, device model, manufacturer of this chip, and whether can be used for test chip working properly; Configuration register is mainly used in configuration download and the retaking of a year or grade of chip, and it is as the impact damper of 32 bus datas, the parallel-serial conversion the when string when being used for realizing disposing and conversion and retaking of a year or grade; Order register namely is used for selecting the register of mode of operation, selects one of above-mentioned test data register group to be connected between TDI and the TDO according to specific order code.
Access by the selected data register of specific instruction, still need to take " free time " as starting point, enter successively " selection data register ", " capture-data " (Capture-DR), " data displacement " (Shift-DR), (Exit1-DR), " data come into force " (Update-DR) gets back to " free time " state at last " to finish 1 ".Having selected the boundary scan register link as example, " capture-data " state in said process, current chip pin output state data are caught in the data register; Then enter into " data displacement " state, under this state, by the driving of TCK, can be with a new test vector data-pushing in the middle of data register, old pin output state data are exported from the TDO port simultaneously; To " data come into force " state, the test vector that just now was input in the data register will formally be loaded on the chip pin by latch again; At last, get back to " free time " state, finish a loading of taking turns test vector.
2. based on the programmable logic device (PLD) Auto-Test System of JTAG
In order to realize above-mentioned automatic test approach, the present invention has at first proposed a cover and has been used for the automatically system of test.System forms as shown in Figure 3, is divided into the hardware and software two large divisions: hardware components comprises the computing machine for the operation automatic testing software, and programmable chip test board to be measured; Software section comprises composing software, test vector generator, serial ports control software and the regression test system that they are combined.Wherein:
Described composing software matches with chip to be measured, and it is used for user's projects file is compiled into the chip configuration file, and this document is downloaded to fpga chip just can become chip configuration the needed function of user.
Described test vector generator, use the perl script language compilation, it carries out emulation by call traditional simulation software (ModelSim instrument) under command line environment to user's design to be measured, and the waveform recording file conversion that generates after the emulation is become needed test vector form.In this way, can generate like clockwork the test vector of various projects, the coverage rate of test vector also can effectively be guaranteed.
Described serial ports control software uses C Plus Plus to write, and the general chained library control serial ports that it uses Windows XP operating system to provide makes it transmit and receive data by certain format.Because the TAP port of JTAG has 4, is respectively TCK, TMS, TDI, TDO, and the data of serial ports output have 8, and we stipulate that corresponding relation is as follows:
The serial ports port B7 B6 B5 B4 B3 B2 B1 B0
The TAP port TDO TMS TCK TDI
Described regression test system, the irrelevant TCL script of usage platform is realized, can seamlessly call above-mentioned all test subsystems.By setting up regression testing policy, make things convenient for the user to carry out the system testing of many versions, do not introduce new mistake or cause other codes to produce mistake with the modification of confirming each version.Automatic regression test will significantly reduce the cost in the stages such as system testing, maintenance upgrade.
3. based on the programmable logic device (PLD) automatic test approach of JTAG
In order to finish the purpose of full-automatic test, concrete steps of the present invention following (as shown in Figure 4):
(1). use TCL script environment Automatically invoked to be used for generating the perl script of a series of documents.This script can call composing software user's project source program is compiled into bit stream file for the download configuration fpga chip; Call simultaneously the test vector file that test vector generator generates prescribed form.
(2). the serial ports of PC is connected to the jtag test port (TAP) of FPGA, and the jtag port of operation serial ports control software control chip changes the state of its TAP controller, makes it to move respectively following test pattern:
A) test bypass.Whether send the Bypass instruction, this instruction is connected to bypass register between TDI and the TDO port, be used for test JTAG and work.
Detailed process is: control first the JTAG state machine and enter " instruction shift " state, send Bypass order code (being " 1111 " in the test chip), the state of a control machine enters " data displacement " state again, one group of data that input is fixing, read again the output of TDO, and whether consistent with Output rusults with software approach inspection input.If two groups of data consistents then show JTAG normal operation.
B) test identification code.Whether send the IDCode instruction, this instruction is connected to the device identification register between TDI and the TDO port, be used for test chip and work.
Detailed process is: control first the JTAG state machine and enter " instruction shift " state, send IDCode order code (being " 1001 " in the test chip), the state of a control machine enters " data displacement " state again, input random one group 32 data, read 32 outputs of TDO, the data of output should be consistent with predefined device identification code in the chip under normal circumstances again.If two groups of data consistents then show chip normal operation.
C) configuring chip.Send the ConfigIn instruction, this instruction is connected to configuration register between TDI and the TDO port, is used for downloading the chip configuration file.
Detailed process is: control first the JTAG state machine and enter " instruction shift " state, send ConfigIn order code (being " 0101 " in the test chip), the state of a control machine enters " data displacement " state again, then read in the chip configuration file that step (1) generates, be downloaded to fpga chip by the TDI port, so far the function of chip has disposed and has finished.
D) test vector loads and compares.Send the Extest instruction, this instruction is connected to boundary scan register between TDI and the TDO port, is used for carrying out testing authentication according to test vector.
Detailed process is: control first the JTAG state machine and enter " instruction shift " state, send Extest order code (being " 0000 " in the test chip), the state of a control machine enters " data displacement " state again, then read in step (1) and generate test vector file, load successively by TDI and respectively to organize test vector, and from the Output rusults that TDO reads chip, compare with expected results.All consistent such as comparative result, then test is passed through, otherwise is defective.
(3). the statistical test result generates waveform and relatively reports.
Use TCL script environment automatically to read in the next project in the tabulation to be measured and repeat above process.
Description of drawings
The boundary scan state machine that Fig. 1 ieee standard 1149.1-1990 formulates.
Fig. 2 boundary scan register group.
Fig. 3 Auto-Test System forms.
Fig. 4 automatic test approach process flow diagram.
Embodiment
For the testing requirement of analog subscriber, we have chosen various example to be measured and have amounted to 105, and wherein pure combinational circuit example amounts to 51, and the sequential circuit example amounts to 56; On function, comprise simple totalizer, multiplier, comparer, encryption and decryption logic, also comprise the application such as complicated singing, VGA port are controlled, communication.In addition, a fpga chip that test board is selected is supported the jtag boundary scanning technique, and supporting with it composing software is arranged, and satisfies test request.
Only need to input an order under command line environment during test, just can carry out comprehensively, compile, download, test and add up all 105 examples, whole process need not manually-operated, greatly makes things convenient for the user to carry out repeated test.Empirical tests, most examples all can pass through by disposable test, and the example of test crash can relatively be reported the problem of finding existence by checking waveform, the relevant issues of manual amendment's source file finally also can be tested and be passed through.
Take simple totalizer project adder4 as example, its source program comprises adder4.v and adder4_test.v, and the former is the behavioral scaling net meter file, and the latter is simulation document.During operation regression test system, at first can call perl script and automatically generate bit stream file adder4.bit and the test vector file adder4.txt that is used for configuring chip.Then can call serial ports control software and carry out jtag test, after carrying out successively the test of bypass test and identification code, bit stream file is downloaded to FPGA, read in test vector file and load test vector by boundary scan chain, read behind the result of test with the expected results that simulates relatively, obtain at last relatively report file adder4.rpt of waveform.
In whole testing process, the user only needs simply to input an order just can finish whole testing process automatically.And if use old-fashioned pure manual test, not only efficient is extremely low, and various mistakes very easily occur; Again with respect to the SignalTap of altera corp and the ChipScope of Xilinx company, although these instrument tests accurately, but in test process, still need certain manual operations, for large batch of test assignment, the user still needs to expend the plenty of time and energy operates, and it is only applicable to the separately chip of company's production; Last Agilent 93000 Pin Scale test machines for Agilent Technologies, although its test accuracy, versatility and speed are all high, but also need the user to be ready in advance bit stream file and test vector, the supporting software application threshold of this machine is high in addition, needs the cost plenty of time learn its using method.
When using Auto-Test System that the present invention proposes and method, reality finds, this cover instrument not only is very easy to the user to the simulation hardware test of engineering code, also can be used in fpga chip itself is carried out hardware testing such as the test of interconnection traversal, quite have practicality.

Claims (6)

1. based on the FPGA chip Auto-Test System of boundary scan, it is characterized in that comprising the hardware and software two large divisions: hardware components comprises the computing machine for the operation automatic testing software, and FPGA chip testing plate to be measured; Software section comprises composing software, test vector generator, serial ports control software and the regression test system that they are combined; Wherein:
Described composing software matches with fpga chip to be measured, and it is used for user's projects file is compiled into the chip configuration file, and this document is downloaded to fpga chip, and fpga chip is configured to the needed function of user;
Described test vector generator by call simulation software under command line environment, carries out emulation to user's design to be measured, and the waveform recording file conversion that generates after the emulation is become needed test vector form;
Described serial ports control software, the general chained library control serial ports that uses Windows XP operating system to provide makes it transmit and receive data by certain format; Corresponding to 4 TAP port: TCK, TMS, TDI, the TDO of JTAG, the data of serial ports output have 8, and its corresponding relation is as follows:
The serial ports port B7 B6 B5 B4 B3 B2 B1 B0 The TAP port TDO TMS TCK TDI
Described regression test system, the irrelevant TCL script of usage platform realizes that it seamlessly calls above-mentioned all test subsystems; By setting up regression testing policy, realize that the user carries out the system testing of many versions, do not introduce new mistake or cause other codes to produce mistake with the modification of confirming each version.
2. FPGA chip automatic test approach based on boundary scan is characterized in that concrete steps are as follows:
(1) use TCL script environment Automatically invoked to be used for generating the perl script of a series of documents, this script calls composing software user's project source program is compiled into bit stream file for the download configuration fpga chip; Call simultaneously the test vector file that test vector generator generates prescribed form;
(2) serial ports of PC is connected to the jtag test port of FPGA, the jtag test port of operation serial ports control software control fpga chip changes the state of its TAP controller, makes it to move respectively following test pattern:
A), the test bypass sends the Bypass instruction, whether this instruction is connected to bypass register between TDI and the TDO port, be used for test JTAG and work;
B), the test identification code sends the IDCode instruction, whether this instruction is connected to the device identification register between TDI and the TDO port, be used for the test fpga chip and work;
C), configuring chip sends the ConfigIn instruction, this instruction is connected to configuration register between TDI and the TDO port, is used for downloading the fpga chip configuration file;
D), test vector loads and relatively send the Extest instruction, this instruction is connected to boundary scan register between TDI and the TDO port, is used for carrying out testing authentication according to test vector;
(3) statistical test result generates waveform and relatively reports;
(4) use TCL script environment reads in the next project in the tabulation to be measured automatically, and repeats above process.
3. method according to claim 2, the concrete steps that it is characterized in that described test bypass are: control first the JTAG state machine and enter " instruction shift " state, send the Bypass order code, the state of a control machine enters " data displacement " state again, one group of data that input is fixing, read again the output of TDO, and whether consistent with Output rusults with software approach inspection input; If two groups of data consistents then show JTAG normal operation.
4. method according to claim 2, the concrete steps that it is characterized in that described test identification code are: control first the JTAG state machine and enter " instruction shift " state, send the IDCode order code, the state of a control machine enters " data displacement " state again, input random one group 32 data, read again 32 outputs of TDO, if the default identification code of these group data and chip internal is consistent then show the chip normal operation.
5. method according to claim 2, the concrete steps that it is characterized in that described configuring chip are: control first the JTAG state machine and enter " instruction shift " state, send the ConfigIn order code, the state of a control machine enters " data displacement " state again, then read in the chip configuration file that step (1) generates, be downloaded to fpga chip by the TDI port.
6. method according to claim 2, it is characterized in that described test vector loads and concrete steps relatively are: control first the JTAG state machine and enter " instruction shift " state, send the Extest order code, the state of a control machine enters " data displacement " state again, then read in step (1) and generate test vector file, load successively by TDI and respectively to organize test vector, and read the Output rusults of chip from TDO, compare with expected results, all consistent such as comparative result, then test is passed through, otherwise is defective.
CN 201010545055 2010-11-16 2010-11-16 Automatic test system and method of programmable logic device on basis of boundary scan Expired - Fee Related CN101995546B (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
CN 201010545055 CN101995546B (en) 2010-11-16 2010-11-16 Automatic test system and method of programmable logic device on basis of boundary scan

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
CN 201010545055 CN101995546B (en) 2010-11-16 2010-11-16 Automatic test system and method of programmable logic device on basis of boundary scan

Publications (2)

Publication Number Publication Date
CN101995546A CN101995546A (en) 2011-03-30
CN101995546B true CN101995546B (en) 2013-02-27

Family

ID=43785959

Family Applications (1)

Application Number Title Priority Date Filing Date
CN 201010545055 Expired - Fee Related CN101995546B (en) 2010-11-16 2010-11-16 Automatic test system and method of programmable logic device on basis of boundary scan

Country Status (1)

Country Link
CN (1) CN101995546B (en)

Families Citing this family (34)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN102353867A (en) * 2011-06-08 2012-02-15 伟创力电子技术(苏州)有限公司 Interconnection test equipment and method
CN103513177B (en) * 2012-06-29 2018-05-01 上海芯豪微电子有限公司 Arithmetic unit tests system and test method
CN103914580B (en) * 2012-12-31 2017-07-11 复旦大学 A kind of method for the emulation of FPGA circuitry bit stream
US9323633B2 (en) * 2013-03-28 2016-04-26 Stmicroelectronics, Inc. Dual master JTAG method, circuit, and system
CN103455672B (en) * 2013-08-29 2016-09-14 上海北大方正科技电脑系统有限公司 A kind of FPGA emulation testing use-case automatization homing method
CN103796009B (en) * 2014-01-14 2016-01-20 北京空间机电研究所 A kind of FPGA quality diagnosis test macro
CN104796687A (en) * 2014-01-21 2015-07-22 昊阳天宇科技(深圳)有限公司 Testing device for frequency mixer of distributed cable mode termination system
CN104515947A (en) * 2014-12-12 2015-04-15 中国电子科技集团公司第五十八研究所 Rapid configuration and test method for programmable logic device in system programming
CN104569794B (en) * 2014-12-31 2017-08-25 北京时代民芯科技有限公司 A kind of FPGA In-circiut testers and method of testing based on boundary-scan architecture
CN104698314B (en) * 2015-03-05 2018-01-02 中国空间技术研究院 A kind of SRAM type FPGA device level automatic test platform and its method of testing
CN105372582B (en) * 2015-12-14 2018-05-25 浪潮(北京)电子信息产业有限公司 A kind of generation method and system of module level boundary scan chain
CN105487035B (en) * 2016-01-25 2018-02-16 深圳市紫光同创电子有限公司 The verification method and device of FPGA border scanning systems
CN105807208A (en) * 2016-04-25 2016-07-27 航天科工防御技术研究试验中心 Multi-port-based asynchronous composite test method
CN106154144A (en) * 2016-06-23 2016-11-23 湖北航天技术研究院计量测试技术研究所 The generation method of CPU element test graphics vector
CN106597250A (en) * 2016-11-24 2017-04-26 深圳市紫光同创电子有限公司 Programmable logic device (PLD) test method and device
CN106841974B (en) * 2016-12-13 2019-11-12 深圳市紫光同创电子有限公司 A kind of FPGA test platform and method
CN107391334A (en) * 2017-08-01 2017-11-24 上海航天控制技术研究所 Digital simulation method based on complete autonomous Row control
CN108829592B (en) * 2018-06-01 2021-11-05 天津芯海创科技有限公司 Method and device for verifying quick access register and table entry and verification equipment
CN109857024B (en) * 2019-02-01 2021-11-12 京微齐力(北京)科技有限公司 Unit performance test method and system chip of artificial intelligence module
CN110045266A (en) * 2019-04-23 2019-07-23 珠海欧比特宇航科技股份有限公司 A kind of chip universal testing method and device
CN110632499B (en) * 2019-09-23 2021-04-23 珠海格力电器股份有限公司 Test vector generation method based on test object and storage medium
CN111366841B (en) * 2020-04-07 2022-06-21 华北水利水电大学 FPGA programmable logic unit test equipment and use method
CN111337820A (en) * 2020-04-24 2020-06-26 江西联智集成电路有限公司 Digital chip scan chain test method, device, equipment and medium
CN111965530A (en) * 2020-04-30 2020-11-20 京微齐力(北京)科技有限公司 JTAG-based FPGA chip automatic test method
CN111522330A (en) * 2020-05-13 2020-08-11 航天科工防御技术研究试验中心 FPGA device testing method and system and electronic equipment
CN111983438A (en) * 2020-08-31 2020-11-24 中国电子科技集团公司第五十八研究所 On-line programming test method for FPGA
CN112526328B (en) * 2020-10-28 2022-11-01 深圳市紫光同创电子有限公司 Boundary scan test method
CN112965458B (en) * 2021-02-01 2022-04-22 杭州和利时自动化有限公司 Control logic simulation debugging method and device for control system and readable storage medium
CN113376514B (en) * 2021-06-09 2022-04-15 深圳米飞泰克科技股份有限公司 FPGA chip testing method, device, system and storage medium
CN113533936A (en) * 2021-07-13 2021-10-22 上海矽昌微电子有限公司 Chip scan chain test method and system
CN113933627A (en) * 2021-10-08 2022-01-14 网易有道信息技术(北京)有限公司 Method for automatically testing and verifying electronic product and related product
CN114325333A (en) * 2021-12-30 2022-04-12 江苏集萃智能集成电路设计技术研究所有限公司 High-efficiency normalized SOC (system on chip) system level verification method and device
CN116224042B (en) * 2023-04-28 2023-08-29 北京壁仞科技开发有限公司 Method, system, apparatus and medium for generating test vector for testing device under test
CN116718900B (en) * 2023-08-03 2023-11-10 上海灵动微电子股份有限公司 Digital IP test system and method

Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7031868B2 (en) * 2003-09-15 2006-04-18 Rambus, Inc. Method and apparatus for performing testing of interconnections

Family Cites Families (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100445636B1 (en) * 2002-06-17 2004-08-25 삼성전자주식회사 Computer system test device using FPGA and programmable memory modules and the test method thereof
JP4579230B2 (en) * 2003-02-10 2010-11-10 エヌエックスピー ビー ヴィ Integrated circuit testing

Patent Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7031868B2 (en) * 2003-09-15 2006-04-18 Rambus, Inc. Method and apparatus for performing testing of interconnections

Also Published As

Publication number Publication date
CN101995546A (en) 2011-03-30

Similar Documents

Publication Publication Date Title
CN101995546B (en) Automatic test system and method of programmable logic device on basis of boundary scan
CN105224345B (en) A kind of programmable logic device remote update system and its method
CN101038325B (en) Method and device for testing chip
US6823497B2 (en) Method and user interface for debugging an electronic system
US20050010880A1 (en) Method and user interface for debugging an electronic system
CN106597250A (en) Programmable logic device (PLD) test method and device
US20040153926A1 (en) Method and apparatus for testing asynchronous set/reset faults in a scan-based integrated circuit
US7111217B1 (en) Method and system for flexibly nesting JTAG TAP controllers for FPGA-based system-on-chip (SoC)
Abdelatty et al. Fault: Open-source EDA’s missing DFT toolchain
CN101083507B (en) IEEE1149.1 protocol based universal test IP method
Aleksejev et al. FPGA-based synthetic instrumentation for board test
US7073110B1 (en) Method and system for programmable boundary-scan instruction register
Payakapan et al. A case study: Leverage IEEE 1687 based method to automate modeling, verification, and test access for embedded instruments in a server processor
Vanitha et al. Implementation of an integrated FPGA based automatic test equipment and test generation for digital circuits
Loh et al. VLSI Design Course with Verification of RISC-V Design using Universal Verification Methodology (UVM)
Angione et al. An optimized burn-in stress flow targeting interconnections logic to embedded memories in automotive systems-on-chip
Grosso et al. Software-based testing for system peripherals
Angione et al. A low-cost burn-in tester architecture to supply effective electrical stress
Kamath et al. An Automated Validation Framework for Power Management and Data Retention Logic Kits of Standard Cell Library
Siripokarpirom et al. Hardware-assisted simulation and evaluation of IP cores using FPGA-based rapid prototyping boards
CN109801664A (en) FPGA configuration memory test method
Barr et al. End-to-end testing for boards and systems using boundary scan
Zadegan Reconfigurable On-Chip Instrument Access Networks: Analysis, Design, Operation, and Application
Ray Soc instrumentations: Pre-silicon preparation for post-silicon readiness
GUPTA Automation Development for Integration Methodology Enhancement for DFT-RTL

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant
CF01 Termination of patent right due to non-payment of annual fee
CF01 Termination of patent right due to non-payment of annual fee

Granted publication date: 20130227

Termination date: 20201116