CN101738580B - 一种面向同构多核处理器的可测性设计方法 - Google Patents

一种面向同构多核处理器的可测性设计方法 Download PDF

Info

Publication number
CN101738580B
CN101738580B CN200810226685A CN200810226685A CN101738580B CN 101738580 B CN101738580 B CN 101738580B CN 200810226685 A CN200810226685 A CN 200810226685A CN 200810226685 A CN200810226685 A CN 200810226685A CN 101738580 B CN101738580 B CN 101738580B
Authority
CN
China
Prior art keywords
scan chain
processor
divided
test
processor core
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
CN200810226685A
Other languages
English (en)
Other versions
CN101738580A (zh
Inventor
梁利平
王志君
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Institute of Microelectronics of CAS
Original Assignee
Institute of Microelectronics of CAS
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Institute of Microelectronics of CAS filed Critical Institute of Microelectronics of CAS
Priority to CN200810226685A priority Critical patent/CN101738580B/zh
Publication of CN101738580A publication Critical patent/CN101738580A/zh
Application granted granted Critical
Publication of CN101738580B publication Critical patent/CN101738580B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Landscapes

  • Test And Diagnosis Of Digital Computers (AREA)
  • Tests Of Electronic Circuits (AREA)

Abstract

本发明公开了一种面向同构多核处理器的可测性设计方法,该方法采用多条扫描链结构,将每个处理器核划分为一条或多条扫描链,将外围电路也划分为一条或多条扫描链。利用本发明,在芯片测试时,测试数据由M×N+K个测试数据输入端口输入,进行M×N+K条扫描链并行扫描,从而大大地缩短了测试时间。而且一部分扫描链是相同结构的,测试码复杂度也减少,这些都能缩减测试成本。在测试同构多核处理器中任一单核性能时,只需选择其中若干条扫描链,达到了“旁路”其余处理器核的效果。

Description

一种面向同构多核处理器的可测性设计方法
技术领域
本发明涉及芯片测试技术领域,尤其涉及一种面向同构多核处理器的可测性设计方法。
背景技术
随着芯片规模的增大和实时处理要求的提高,单核处理器能力已难以满足要求。微电子技术的发展使得在单一芯片上集成多个处理器成为可能。根据内含处理器核的种类,多核处理器可以分为同构多核处理器和异构多核处理器两种。而且随着集成度的提高,给芯片测试带来了很大的困难,其困难主要表现在大的测试向量和长的测试时间上,使得芯片的测试成本占据了整个芯片成本中很大的一部分。
为了缩短芯片的测试时间,缩减测试成本,可测性设计(Design ForTest,DFT)应运而生。可测性设计可广泛地定义为:为了全面降低测试的难度对一个给定的电路设计进行修改,提高电路的可控制性和可观测性。可控制性是指通过在电路输入设定值,可以在电路的每个节点建立一个特殊的信号值的能力。可观测性是指控制电路的输入,通过电路的输出可以判断电路任一节点的信号值的能力。
除了专用的可测性设计方法外,目前通常使用的有三种可测性设计方法:内建自测试,边界扫描,路径扫描。三种方法都有各自的优缺点。
内建自测试:测试向量都在电路内部自动生成,通常采用伪随机的生成方式。生成测试码代价小,但测试时间较长,对芯片面积有一定影响。
边界扫描:定义了一个四管脚或五管脚的测试访问端口,一组寄存器和一个测试访问端口控制器。该方法比较容易扩展,但是需要增加的电路比较复杂。
路径扫描:将所有触发器都替换为扫描触发器,连成扫描链。优势是改动简单,对芯片面积影响相对较小。但是随着电路复杂度的增加,所用到的触发器数目不断增长,长的扫描链造成测试时间的增长。而且对于同构多核处理器电路来说,无法像边界扫描那样旁路掉其他的处理器核,导致测试其中某单个处理器核时所需时间较长。
发明内容
(一)要解决的技术问题
有鉴于此,本发明的主要目的在于提供一种面向同构多核处理器的可测性设计方法,以减少测试时间,降低测试成本。
(二)技术方案
为达到上述目的,本发明采用的技术方案如下:
一种面向同构多核处理器的可测性设计方法,该方法采用多条扫描链结构,将每个处理器核划分为一条或多条扫描链,将外围电路也划分为一条或多条扫描链。
上述方案中,所述将每个处理器核划分为一条或多条扫描链,将外围电路也划分为一条或多条扫描链,具体包括:
将单个处理器核划分为一条或多条扫描链;
将由单个处理器核划分的扫描链结构运用于其他同构的处理器核,实现多个处理器核的划分;以及
对外围电路进行扫描链的划分和优化,使扫描链的长度与单个处理器核中扫描链的长度相同。
上述方案中,所述每条扫描链均不跨越两个处理器核。
上述方案中,所述每个处理器核的扫描链划分都是相同的。
上述方案中,所述每条扫描链的长度大致相同。
上述方案中,所述同构多核处理器有多个测试数据输入端口和多个测试数据输出端口,支持并行扫描测试。
上述方案中,所述多个测试数据输出端口进一步通过一个并串转换单元使数据通过一个端口输出。
(三)有益效果
从上述技术方案可以看出,本发明具有以下有益效果:
1、利用本发明,在芯片测试时,测试数据由M×N+K个测试数据输入端口输入,进行M×N+K条扫描链并行扫描,从而大大地缩短了测试时间。而且一部分扫描链是相同结构的,测试码复杂度也减少,这些都能缩减测试成本。在测试同构多核处理器中任一单核性能时,只需选择其中若干条扫描链,达到了“旁路”其余处理器核的效果。
2、本发明提出的可测性设计方法可以使用在任何同构多核处理器芯片上,不管芯片中的核是CPU核,DSP核,还是其他。
附图说明
图1是同构多核处理器电路的结构示意图;
图2是本发明提出的多扫描链的结构示意图。
具体实施方式
为使本发明的目的、技术方案和优点更加清楚明白,以下结合具体实施例,并参照附图,对本发明进一步详细说明。
芯片在生产制造后,为了保证芯片的可靠运行,需要进行测试。而随着集成电路的越来越复杂,测试成本越来越高,所花费的时间也越来越长。这跟工业界想要的较短的上市时间和较大的利润形成了一个矛盾。所以必须在芯片的设计时就得考虑到有关测试的问题,通过对电路做一定的修改使测试变得更加容易而又经济。本发明就提出了这样一个行之有效的方法。提出了一个面向同构多核处理器的多扫描链并行扫描的结构。目前有很多EDA工具可以提供扫描链的插入,所以本发明很容易在电路设计中贯彻和实施。
本发明是一种面向同构多核处理器的可测性设计方法,该方法采用多条扫描链结构,将每个处理器核划分为一条或多条扫描链,将外围电路也划分为一条或多条扫描链。每条扫描链都不会跨越两个处理器核。每个处理器核的扫描链划分都是一样的。每条扫描链的长度大致相仿。
其中,将每个处理器核划分为一条或多条扫描链,将外围电路也划分为一条或多条扫描链,具体包括:
将单个处理器核划分为一条或多条扫描链;
将由单个处理器核划分的扫描链结构运用于其他同构的处理器核,实现多个处理器核的划分;以及
对外围电路进行扫描链的划分和优化,使扫描链的长度与单个处理器核中扫描链的长度相同。
上述将每个处理器核按相同的策略和结构划分为一条或多条扫描链和将外围电路也划分为一条或多条扫描链的过程,一般也可以称之为同构扫描链插入,是本发明的关键。
根据本发明提出的可测性设计方法,所述同构多核处理器有多个测试数据输入端口和多个测试数据输出端口,支持同一组测试码操作对多个处理器核的并行扫描测试,减少同构多核处理器芯片在测试设备上的扫描测试时间,从而节约了芯片的测试成本。所述多个测试数据输出端口可进一步通过一个并串转换单元使数据通过一个端口输出。
假设同构多核处理器有M个处理器核,每一个处理器核划分为N条扫描链,外围电路被划分为K条扫描链,则整个同构多核处理器芯片共有M×N+K条扫描链。同构多核处理器芯片有M×N+K个测试数据输入端口,输出的端口可以是M×N+K个,也可以通过并串转换单元使输出数据通过一个端口输出。
同构多核处理器电路由相同结构的处理器核和外围电路构成,如附图1所示。图2是本发明提出的基于同构多核处理器多扫描链的结构示意图。在插入扫描链的时候,首先只对单个处理器核进行操作,其余电路均保持原状。对单个处理器核进行优化,划分成一条还是N条扫描链取决于测试时间与芯片引脚数目增加的折衷。对于处理器核数M比较大的情况,使N=1是比较好的一个选择。然后将同样的划分运用到其余M—1个处理器核。最后保持这些划分不变,让EDA工具对外围电路进行扫描链插入,外围电路被划分为K条扫描链,这些扫描链的长度与处理器核划分的扫描链大致相仿,以节约扫描测试的所需时间。
以上所述的具体实施例,对本发明的目的、技术方案和有益效果进行了进一步详细说明,所应理解的是,以上所述仅为本发明的具体实施例而已,并不用于限制本发明,凡在本发明的精神和原则之内,所做的任何修改、等同替换、改进等,均应包含在本发明的保护范围之内。

Claims (5)

1.一种面向同构多核处理器的可测性设计方法,其特征在于,该方法采用多条扫描链结构,将每个处理器核划分为一条或多条扫描链,将外围电路也划分为一条或多条扫描链,具体包括:
将单个处理器核划分为一条或多条扫描链;
将由单个处理器核划分的扫描链结构运用于其他同构的处理器核,实现多个处理器核的划分;以及
对外围电路进行扫描链的划分和优化,使扫描链的长度与单个处理器核中扫描链的长度相同。
2.根据权利要求1所述的面向同构多核处理器的可测性设计方法,其特征在于,所述每条扫描链均不跨越两个处理器核。
3.根据权利要求1所述的面向同构多核处理器的可测性设计方法,其特征在于,所述每个处理器核的扫描链划分都是相同的。
4.根据权利要求1所述的面向同构多核处理器的可测性设计方法,其特征在于,所述同构多核处理器有多个测试数据输入端口和多个测试数据输出端口,支持并行扫描测试。
5.根据权利要求4所述的面向同构多核处理器的可测性设计方法,其特征在于,所述多个测试数据输出端口进一步通过一个并串转换单元使数据通过一个端口输出。
CN200810226685A 2008-11-19 2008-11-19 一种面向同构多核处理器的可测性设计方法 Active CN101738580B (zh)

Priority Applications (1)

Application Number Priority Date Filing Date Title
CN200810226685A CN101738580B (zh) 2008-11-19 2008-11-19 一种面向同构多核处理器的可测性设计方法

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
CN200810226685A CN101738580B (zh) 2008-11-19 2008-11-19 一种面向同构多核处理器的可测性设计方法

Publications (2)

Publication Number Publication Date
CN101738580A CN101738580A (zh) 2010-06-16
CN101738580B true CN101738580B (zh) 2012-08-29

Family

ID=42462305

Family Applications (1)

Application Number Title Priority Date Filing Date
CN200810226685A Active CN101738580B (zh) 2008-11-19 2008-11-19 一种面向同构多核处理器的可测性设计方法

Country Status (1)

Country Link
CN (1) CN101738580B (zh)

Families Citing this family (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2016146168A1 (en) 2015-03-17 2016-09-22 Huawei Technologies Co., Ltd. Mixed-signal integrated circuit
CN106680688B (zh) * 2015-11-11 2020-09-25 恩智浦美国有限公司 利用并行扫描测试数据输入和输出测试多核集成电路
CN106780842A (zh) * 2017-01-11 2017-05-31 张军 一种基于多核异构处理器的智能行车预警系统原型
CN111381147B (zh) * 2018-12-29 2022-03-01 北京灵汐科技有限公司 一种众核芯片的测试方法、装置及测试设备
US11175338B2 (en) * 2019-12-31 2021-11-16 Alibaba Group Holding Limited System and method for compacting test data in many-core processors
CN113203940B (zh) * 2021-04-29 2023-06-20 桂林电子科技大学 3D NoC测试规划中的并行测试方法

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6954886B2 (en) * 2001-12-31 2005-10-11 Intel Corporation Deterministic hardware reset for FRC machine
US7353440B2 (en) * 2004-04-22 2008-04-01 Fujitsu Limited Multicore processor test method
CN101183140A (zh) * 2007-12-26 2008-05-21 中国科学院计算技术研究所 一种片上多核处理器的测试电路及其可测试性设计方法
CN101256217A (zh) * 2008-04-17 2008-09-03 复旦大学 一种高监控覆盖率片上系统调试平台

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6954886B2 (en) * 2001-12-31 2005-10-11 Intel Corporation Deterministic hardware reset for FRC machine
US7353440B2 (en) * 2004-04-22 2008-04-01 Fujitsu Limited Multicore processor test method
CN101183140A (zh) * 2007-12-26 2008-05-21 中国科学院计算技术研究所 一种片上多核处理器的测试电路及其可测试性设计方法
CN101256217A (zh) * 2008-04-17 2008-09-03 复旦大学 一种高监控覆盖率片上系统调试平台

Non-Patent Citations (2)

* Cited by examiner, † Cited by third party
Title
侯晓峰等.多处理器系统调试中的互控联控技术.《计算机工程与设计》.2007,(第09期),
多处理器系统调试中的互控联控技术;侯晓峰等;《计算机工程与设计》;20070508(第09期);2156,2157,2184 *

Also Published As

Publication number Publication date
CN101738580A (zh) 2010-06-16

Similar Documents

Publication Publication Date Title
CN101738580B (zh) 一种面向同构多核处理器的可测性设计方法
CN107544017B (zh) 基于向量压缩的低功耗加权伪随机测试方法及相关设备
Sankaralingam et al. Reducing power dissipation during test using scan chain disable
US6686759B1 (en) Techniques for testing embedded cores in multi-core integrated circuit designs
US7890899B2 (en) Variable clocked scan test improvements
US7055077B2 (en) Systems and methods for circuit testing
US7082559B2 (en) Semiconductor integrated circuit device and test method thereof
JP5401119B2 (ja) 半導体集積回路の設計方法、設計プログラム、及び半導体集積回路
US7949920B2 (en) DFT techniques to reduce test time and power for SoCs
US7779320B2 (en) Low power scan shifting with random-like test patterns
JPH04278475A (ja) 先読みパターン発生及びシミュレーションの方法及びシステム
US20080320348A1 (en) Launch-On-Shift Support for On-Chip-Clocking
CN102401876A (zh) 一种光电集成电路芯片的测试系统和测试方法
US20060236179A1 (en) Delay test method for large-scale integrated circuits
US20100146349A1 (en) Semiconductor integrated circuit including logic circuit having scan path and test circuit for conducting scan path test
He et al. Power constrained and defect-probability driven SoC test scheduling with test set partitioning
CN102340304B (zh) 一种tap接口优化电路
JP2005257366A (ja) 半導体回路装置及び半導体回路に関するスキャンテスト方法
CN101526584B (zh) 一种印制电路板的边界扫描测试方法
He et al. Power-constrained hybrid BIST test scheduling in an abort-on-first-fail test environment
Pomeranz Topping Off Test Sets Under Bounded Transparent Scan
US9557382B1 (en) Inter-block scan testing with share pads
US9043180B2 (en) Reducing power consumption during manufacturing test of an integrated circuit
Pomeranz Subsets of primary input vectors in sequential test generation for single stuck-at faults
Czysz et al. On deploying scan chains for data storage in test compression environment

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant