CN101661799B - 用于随机存取存储器的可编程自检测 - Google Patents

用于随机存取存储器的可编程自检测 Download PDF

Info

Publication number
CN101661799B
CN101661799B CN200910166561.8A CN200910166561A CN101661799B CN 101661799 B CN101661799 B CN 101661799B CN 200910166561 A CN200910166561 A CN 200910166561A CN 101661799 B CN101661799 B CN 101661799B
Authority
CN
China
Prior art keywords
detection
register
key element
group
storer
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
CN200910166561.8A
Other languages
English (en)
Other versions
CN101661799A (zh
Inventor
张晴雯
郑玮嘉
林士杰
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Original Assignee
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Taiwan Semiconductor Manufacturing Co TSMC Ltd filed Critical Taiwan Semiconductor Manufacturing Co TSMC Ltd
Publication of CN101661799A publication Critical patent/CN101661799A/zh
Application granted granted Critical
Publication of CN101661799B publication Critical patent/CN101661799B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • GPHYSICS
    • G11INFORMATION STORAGE
    • G11CSTATIC STORES
    • G11C29/00Checking stores for correct operation ; Subsequent repair; Testing stores during standby or offline operation
    • G11C29/04Detection or location of defective memory elements, e.g. cell constructio details, timing of test signals
    • G11C29/08Functional testing, e.g. testing during refresh, power-on self testing [POST] or distributed testing
    • G11C29/12Built-in arrangements for testing, e.g. built-in self testing [BIST] or interconnection details
    • G11C29/14Implementation of control logic, e.g. test mode decoders
    • G11C29/16Implementation of control logic, e.g. test mode decoders using microprogrammed units, e.g. state machines
    • GPHYSICS
    • G11INFORMATION STORAGE
    • G11CSTATIC STORES
    • G11C29/00Checking stores for correct operation ; Subsequent repair; Testing stores during standby or offline operation
    • G11C29/04Detection or location of defective memory elements, e.g. cell constructio details, timing of test signals
    • G11C29/08Functional testing, e.g. testing during refresh, power-on self testing [POST] or distributed testing
    • G11C29/12Built-in arrangements for testing, e.g. built-in self testing [BIST] or interconnection details
    • G11C29/36Data generation devices, e.g. data inverters
    • G11C2029/3602Pattern generator

Landscapes

  • For Increasing The Reliability Of Semiconductor Memories (AREA)
  • Debugging And Monitoring (AREA)
  • Tests Of Electronic Circuits (AREA)

Abstract

本发明公开了一种可提供用于检测存储器的大指令集又能降低面积开销的系统。这种用于检测集成电路的存储器的系统包括:一组寄存器,提供用于多个检测的基于要素的可编程性,即一个检测可以一个要素一个要素的编程,其中各个检测包括多个检测要素;一个有限状态机,用于从寄存器组接收多个检测指令,其中该有限状态机分配信号来指示检测模式发生器以产生检测模式;一个存储器控制模块,用于将所产生的检测模式施加到存储器;以及一个比较器模块,用于将从存储器接收的响应与所存储的、已知的响应相比较。

Description

用于随机存取存储器的可编程自检测
技术领域
本发明所公开的内容大体涉及集成电路领域,更具体地,涉及一种用于检测集成电路的一个存储器或多个存储器的可编程内建自检测结构和相应的检测方法。
背景技术
集成电路(IC)技术得到了不断的改进,包括按比例缩小器件的几何形状以实现较低的制造成本、较高的器件集成密度、较高的速度和更好的性能。这些改进为IC提供了最佳质量。典型地,在制造之后检测IC,以确保IC展现出所需质量。检测通常包括为被检测的IC施加一个激励源,获得并分析IC器件的响应,且将器件的响应与已知的、所需的响应作对比。在IC的存储器的检测中,一种方法包括可编程内建自检测(BIST)电路。常规的可编程BIST制造在IC上且采用单独的从属嵌入式存储器(例如,只读存储器),用于编程一套检测指令。但是,当检测复杂的存储器器件时,从属嵌入式存储器需要大的指令集,其导致比所期望的更大的面积开销。
发明内容
因此,本发明的目的是提供一种面积有效的可编程BIST结构,以提供多重复杂的自检测程序。根据本发明,提供了一种系统,该系统有效地提供适于复杂嵌入式存储器的大的检测指令集,同时提供减小的面积开销。在一个实施例中,一种用于检测集成电路的存储器的系统包括一组寄存器,该组寄存器提供基于用于多个检测的可编程要素,其中各个检测包括多个检测要素;一个用于从所述寄存器组接收多个检测指令的有限状态机,其中该有限状态机分配信号来指示一个检测模式发生器以产生一个检测模式;一个存储器控制模块,用于将所产生的检测模式施加到存储器;以及一个比较器模块,用于将从存储器接收的响应与所存储的、已知的响应相比较。
在一些实施例中,寄存器组包括用于定义多个检测要素的多个检测要素控制寄存器。在一些实施例中,多个检测要素控制寄存器包括用于控制写功能的至少一位以及用于控制读功能的至少一位。在一些实施例中,多个检测要素控制寄存器包括用于启动重复功能的至少一个可编程位。在一些实施例中,多个检测要素控制寄存器包括用于启动刷新功能的至少一个可编程位。
在一些实施例中,寄存器组包括一个检测要素重复计数寄存器,其对定义了一个检测要素的至少一个检测要素控制寄存器指定了该检测要素被重复的次数。在一些实施例中,寄存器组包括用于为多个检测要素控制寄存器定义检测设置的至少一个检测模式寄存器。在一些实施例中,至少一个检测模式寄存器包括通过列地址来控制数据模式触发的至少一位、通过行地址来控制数据模式触发的至少一位以及用于控制数据模式触发来生成字线图案的至少一位。在一些实施例中,至少一个检测模式寄存器包括用于启动错误触发模式的至少一个可编程位。
在一些实施例中,寄存器组包括一个刷新时间寄存器,其定义了用于插入一个刷新的至少一个时间。在一些实施例中,寄存器组包括一个组开始寄存器和一个组终止寄存器,其中组开始寄存器定义了用于一个检测的存储器的一个开始组,且组终止寄存器定义了用于一个检测的存储器的一个终止组。在一些实施例中,组开始寄存器和组终止寄存器提供了检测分立存储器组和存储器组的不同组群的能力。在一些实施例中,寄存器组包括一个检测循环寄存器,其选择重复一个检测直至接收一个终止命令。
在一些实施例中,多个检测包括零-一、棋盘、MATS、MATS+、MATS++、进程X、进程C、进程C-、进程Y、MOVI和干扰。在一些实施例中,多个检测的至少一个包括一个暂停检测要素。
在一个实施例中,提供一种用于检测集成电路的存储器的方法,其中该存储器包括多个存储器组,包括编程包括了编程多个检测要素的一个寄存器组,其中编程多个检测要素的不同组合表示多个检测;生成符合编程的寄存器组的多个检测指令;执行多个检测指令来检测存储器;存储所执行的多个检测指令生成的结果;以及通过将所存储的结果与已知的响应相比较来确定存储器的质量。
在一些实施例中,编程多个检测要素进一步包括为多个检测要素的至少一个启动重复功能且为至少一个检测要素定义重复次数。在一些实施例中,编程多个检测要素进一步包括为多个检测要素的至少一个启动刷新功能且定义用于插入刷新的时间。在一些实施例中,编程寄存器组进一步包括定义一个开始存储器组和定义一个终止存储器组,其中所述定义提供了检测分立存储器组和存储器组的不同组群的能力。在一些实施例中,执行多个检测指令并存储所执行的多个指令生成的结果进一步包括探测和记录存储器中的第一错误的位置,存储所述位置作为一个触发点;通过该触发点继续多个指令的执行直至探测和记录存储器中的第二错误的位置,存储第二错误的位置作为该触发点;通过该触发点继续多个指令的执行直至探测和记录存储器中的相继错误的位置,存储各个相继错误的位置作为该触发点直至停止多个指令的执行。
总的来说,所公开的实施例提供以下一个或多个优点:(1)基于大检测指令集的可编程要素;(2)可编程重复功能和可编程重复计数功能;(3)可编程保留检测和可编程刷新检测,当检测DRAM时尤为有用;(4)用于动态干扰故障检测的特殊检测模式;(5)用于检测的可编程组;(6)记录生成在所检测的存储器(或多个存储器)中的故障的新颖的错误触发;(7)在调试RAM中具有高灵活性的小面积开销;以及(8)通过提供这种检测作为可编程保留检测、可编程刷新检测和用于动态干扰故障检测的特殊检测模式来确保DRAM质量。
附图说明
当阅读附图时,本公开内容将从如下详细描述中得到最好的理解。需要强调的是,根据行业内的标准做法,不同的特征未按比例绘制且仅用于阐述性目的。事实上,为了讨论的清楚,不同特征的尺寸可以任意增大或减小。
图1是根据本发明的一个实施例的可编程BIST结构的简图。
图2是根据本发明的一个实施例的可编程BIST寄存器的简图。
图3阐述了根据本发明的一个实施例的包含在一组可编程BIST寄存器中的可编程检测要素控制寄存器的实例。
图4阐述了根据本发明的一个实施例的包含在一组可编程BIST寄存器中的可编程检测模式寄存器的实例。
具体实施方式
可以理解的是,以下公开内容提供了多个不同的实施例或实例,用来实现本发明的不同特征。以下描述部件和装置的特定实例以简化本公开内容。当然,以下描述的部件和装置的特定实例仅仅是实例且并不旨在限制。另外,本公开内容可以在不同的实例中重复使用附图标记和文字。这种重复用于简化和清楚的目的,且并不能由其本身决定所论述的不同实施例和/或构造之间的关系。
参考图1至4,用于检测集成电路存储器,特别是复杂的嵌入式动态随机存取存储器的可编程BIST(pBIST)结构100和pBIST寄存器200共同在下文中描述。可以理解的是,其他特征可以添加到pBIST结构100和pBIST寄存器200中,且对于pBIST结构100和pBIST寄存器200的其他实施例来说,下文描述的一些特征可以被取代或被取消。pBIST结构100和pBIST寄存器200的当前实施例允许编程大指令集以执行多重复杂的自检测程序,同时又能明显地降低这种指令集所需的面积开销。
参照图1,提供一种pBIST结构100。pBIST结构100包括存储器110、指令模块120、有限状态机130、检测模式发生器140、数据发生器142、地址发生器144、比较器150、存储器控制模块160和pBIST寄存器200。
pBIST结构100检测存储器110的各种故障。存储器110可以包括被检测的单一存储器或多个存储器。存储器110可以包括集成电路存储器芯片,例如随机存取存储器(RAM)、只读存储器(ROM)、其他合适的存储器和/或其组合。RAM可以包括静态随机存取存储器(SRAM)、动态随机存取存储器(DRAM)、同步动态随机存取存储器(SDRAM)、非易失性随机存取存储器(NVRAM)和/或其他合适的随机存取存储器。ROM可以包括可擦除可编程ROM(EPROM)、电可擦除可编程ROM(EEPROM)、闪存和/或其他合适的只读存储器。
指令模块120存储不同的检测指令、数据信息和存储器信息。指令模块120提供检测指令到有限状态机130。典型地,指令模块120存储硬编码检测指令,包括检测集(例如,算法集)。但是,在指令模块120中存储检测指令会导致大的面积开销,且导致硬编码设计不灵活。常规的pBIST结构使用指令模块120来存储大的检测指令集,这未能提供真正的高速检测能力且经常展现出对于实现多重复杂的自检测程序的能力的不足,特别是当检测例如DRAM的复杂的存储器的时候。
在本实施例中,pBIST寄存器200提供一种存储大检测指令集的新颖方式,其是面积节约的,且无需对常规pBIST结构的硬件做改变或再设计。pBIST寄存器200提供用于检测的基于要素的可编程性(即,一个检测可以一个要素一个要素的编程)。如以下进一步论述的,一个要素一个要素的编程检测的能力包括在存储器单元上运行例如读和写的组合操作的能力。这种用于检测的基于要素的可编程性减小了面积开销,但仍能使大的指令集在存储器110上运行。表1提供了在pBIST寄存器200中可以一个要素一个要素编程的检测实例。
表1
Figure G2009101665618D00051
Figure G2009101665618D00061
表1中提供的各个检测探测被检测的存储器(或多个存储器)110的不同类型的故障。“↑”表示操作开始于存储器中的最低地址且向存储器中的最高地址前进。“↓”表示操作开始于存储器中的最高地址且向存储器中的最低地址前进。“
Figure G2009101665618D00062
”表示地址顺序是无关的,操作可以从存储器中的最高地址开始并向最低地址前进,或操作可以从存储器中的最低地址开始并向最高地址前进。“W”表示向被测的存储器110写入,以及“R”表示从被测的存储器110读出。“0”表示预先确定的数据模式是写或读,以及“1”表示预先确定的数据模式的补集是写或读。“暂停”表示没有操作(NOP),不作操作的指令。
本实施例中的干扰检测提供一种用于动态干扰故障检测的方法,当检测动态随机存取存储器时显得尤为重要,其中“暂停”/NOP可以被编程为单一要素。这种新颖的检测包括如下步骤:
1.在任何地址顺序下,写0到所有位置。
2.在最低地址处读0,在最低地址处写1,重复这一系列操作直至到达最高地址。
3.暂停/NOP,即,对存储器阵列不做任何操作。
4.以任意地址顺序读1和写0,依照所选择的地址顺序,重复这一系列操作,直至到达最低地址或最高地址。
5.暂停/NOP,即,对存储器阵列不做任何操作。
6.以任意地址顺序读0,依照所选择的地址顺序,重复这种操作,直至到达最低地址或最高地址。
暂停/NOP要素提供检测DRAM的数据保留的能力。可以理解的是,“暂停”/NOP要素可以编程到任何检测中,且不局限于干扰检测。
pBIST寄存器200降低了与作为用于生成检测地址信号、检测数据信号和被检测的存储器110的控制信号的源的另一从属嵌入式存储器的依存关系。参考图2,pBIST寄存器200包括多个检测要素控制寄存器202、检测要素重复计数寄存器204、刷新时间寄存器206、检测模式寄存器208、检测循环寄存器210、检测控制寄存器212、组开始寄存器214、组终止寄存器216、检测状态寄存器218、故障计数寄存器220、故障数据寄存器222以及故障地址寄存器224。可以理解的是,pBIST寄存器200的数量、种类和功能不局限于以下列出或探讨的那些。pBIST寄存器200可以提供其他功能。pBIST寄存器200可以进一步包括由一个或多个寄存器实现的任意组合的功能。
检测要素控制寄存器202提供检测的基于要素的可编程性。多个检测要素控制寄存器202定义了多个检测要素。具体地,每一个检测要素控制寄存器202可以编程一种检测的单一要素。例如,如果pBIST寄存器200包括十六个检测要素控制寄存器202(其中各个检测要素控制寄存器202具有多重控制位),则接着可以编程十六种要素。可以理解的是,pBIST寄存器200的组可以包括任意数量的检测要素控制寄存器202。
图3提供了可编程检测要素控制寄存器的一个实施例。可编程检测要素控制寄存器可以包括十三个控制位。多个检测要素控制寄存器202可以包括任意适量的控制位。在可替换的实施例中,多个检测要素控制寄存器202可以包括一个控制位,该控制位适于任何适当的功能和/或提供不同功能的控制位的任意组合,这种功能和组合不局限于下文探讨的那些。
检测要素控制寄存器在零-一检测中,“w0”,对第一要素进行编程。第一位是可编程的重复位,确定是否重复特定的检测要素。在本实施例中,第一位是“0”,其表明禁止重复功能。如果第一位是“1”,则启动重复功能。当启动重复功能时,检测要素重复计数寄存器204定义了检测要素重复的次数。在一些实施例中,pBIST寄存器200可以包括多个检测要素重复计数寄存器204,以便各个检测要素控制寄存器202与检测要素重复计数寄存器204一致。可编程重复位提供一种可编程保留检测,当检测DRAM的保留时尤为有用。例如,检测要素控制寄存器在以上介绍的干扰检测中可以编程为“暂停”要素,且可进一步被编程而为“暂停”要素启动重复功能。重复“暂停”要素任意次数来检测DRAM保持数据的能力。
在编程检测要素控制寄存器中的第二位是一个可编程的刷新位,表示是否启动内部刷新功能。在本实施例中,内部刷新是启动的。当启动内部刷新位时,刷新时间寄存器206规定插入刷新的一个时间(或多个时间)。
接着,三位字段(第三、第四和第五位)是一种数据反转控制,其规定是否反转数据。编程检测要素控制寄存器进一步包括一个读控制位(第六位)和一个写控制位(第七位),其禁止或启动读和写功能。分离的可编程读和写控制位能够使得组合操作在存储器单元上实施(即,如果读和写控制位设置成启动读操作和写操作,则在前进到第二存储器单元之前,在第一存储器单元上实施读和写操作)。在本实施例中,因为编程检测要素控制寄存器在零-一检测,“w0”下对第一要素进行编程,则启动写功能并禁止读功能。
包括了第八和第九位的两位字段表示在检测序列中的第一操作。包括第十、第十一和第十二位的三位字段表示操作总数减一。第十三位表示地址顺序,例如检测要素是升序运行还是降序运行。当地址顺序位是“0”时,地址以升序读取,开始于最低地址位置并连续通过各个相继的较高地址位置,直至到达最高地址。当地址顺序位是“1”时,地址以降序读取,开始于最高地址位置并连续通过各个相继的较低地址位置,直至到达最低地址。
检测模式寄存器208定义了多个检测要素控制寄存器202中编程的各个要素的检测设置。图4提供编程检测模式寄存器的一个实施例。编程检测模式寄存器可以包括二十二个控制位。可以理解的是,检测模式寄存器208可以包括任意适量的控制位。可以进一步理解的是,检测模式寄存器208可以包括用于任何适当的功能和/或提供不同功能的控制位的任意组合的一个控制位,这种功能和组合不局限于以下探讨的那些。
编程检测模式寄存器包括用于禁止/启动数据编码功能的第一位,以及用于禁止/启动错误触发模式的第二位。在本实施例中,启动了错误触发模式,其提供了用于记录在存储器110上运行检测时出现的故障的新故障触发模式。本实施例中通过每次寻找、存储和记录一个故障来记录故障。例如,在一个实施例中,该方法包括运行检测、探测第一错误、记录第一错误、存储第一错误的位置作为一个触发点、通过该触发点运行检测直至探测第二错误、记录第二错误、存储第二错误的位置作为一个新的触发点、通过该触发点运行检测直至探测第三错误等等。记录故障的错误触发方法的本实施例导致低的面积开销。
与常规pBIST结构不同,检测模式寄存器208包括用于管理数据模式切换的三个分离控制。这三个分离的数据模式切换控制允许用于动态干扰检测的一种特殊模式,当检测动态随机存取存储器时尤为有用。在本实施例中,编程检测模式寄存器包括一个三位字段(第三、第四和第五位)来控制用于产生不同字线图案的数据模式切换,一位(第六位)通过行地址来控制数据模式切换,且一位(第七位)通过列地址来控制数据模式切换。编程检测模式寄存器进一步包括一个两位字段(第八和第九位),用于禁止/启动一个快速行模式并确定是否检测所有组;一个八位字段(第十到第十七位),用于确定读或者写数据;以及一个五位字段(第十八到第二十二位),表示检测中的要素数目减一。
检测循环寄存器210选择和确定重复检测(即,特定的算法或算法集)的次数。检测循环寄存器210可以重复检测直至接收一个终止命令,且允许无限循环检测。检测控制寄存器212发出一个运行命令到pBIST以开始一个检测(或多个检测)。
常规pBIST结构在一个存储器阵列中的所有存储器组上运行检测/算法,即,第一检测要素施加到每一个组中的所有位,接着第二检测要素施加到每一个组中的所有位,等等。本实施例提供用于检测的可编程组。组开始寄存器214和组终止寄存器216控制组以便一个检测要素或多个检测要素施加到组。组开始寄存器214定义了用于检测运行的开始组。组终止寄存器216定义了用于检测运行的结束组。例如,在一个实施例中,存储器110可以包括三十二个(32)组,组#0到组#31。组开始寄存器214可以编程到组#2,且组终止寄存器216可以编程到组#15。因此,检测要素将运行通过组#2中的所有位、组#3中的所有位,...直至组#15中的所有位。可编程组开始寄存器214和组终止寄存器216允许检测存储器单元中不同的存储器组,而无需在存储器单元中的所有存储器组上运行检测。在一些实施例中,检测要素可以仅在存储器的一个组上运行。在一些实施例中,检测要素可以仅在存储器的某一部分/组上运行。
检测状态寄存器218记录来自pBIST运行的各个检测的结果。故障计数寄存器210存储和报告发生在特定检测运行中的故障总数。故障地址寄存器212存储和报告发生在特定检测运行中的地址故障的总数。故障数据寄存器214存储和报告发生在特定检测运行中的故障的数据总数。
有限状态机(CTRL_FSM)130是可编程的,以根据从指令模块120和pBIST寄存器200接收的检测指令,在一个存储器或多个存储器110上执行检测的特定序列。检测模式发生器(TPG)140包括数据发生器142和地址发生器144。数据发生器142和地址发生器144根据从有限状态机130接收的控制信号来一起生成检测地址和检测数据。比较器150的作用是将存储器110的实际响应与已知的、存储的响应作比较。存储器控制模块160将从检测模式发生器140接收的检测地址和检测数据施加到检测下的一个存储器(或多个存储器)110。
总的来说,所公开的实施例提供以下一个或多个优点:(1)基于大检测指令集的可编程要素;(2)可编程重复功能和可编程重复计数功能;(3)可编程保留检测和可编程刷新检测,当检测DRAM时尤为有用;(4)用于动态干扰故障检测的特殊检测模式;(5)用于检测的可编程组;(6)记录生成在所检测的存储器(或多个存储器)中的故障的新颖的错误触发;(7)在调试RAM中具有高灵活性的小面积开销;以及(8)通过提供这种检测作为可编程保留检测、可编程刷新检测和用于动态干扰故障检测的特殊检测模式来确保DRAM质量。
发生器前述内容概述了几个实施例的特征,以便本领域技术人员可以更好的理解本公开内容的观点。本领域技术人员应当认识到,他们可以容易地使用本公开内容作为设计或改进用于实施与这里介绍的实施例相同目的和/或实现相同优点的其他方法和结构的基础。本领域技术人员还应当认识到,这些等价构造未背离本公开内容的精神和范围,且在不背离本公开内容的精神和范围的情况下,他们可以在这里做出不同的改变、替换和变型。

Claims (19)

1.一种用于检测集成电路的存储器的系统,包括:
一组寄存器,提供用于多个检测的基于要素的可编程性,即,一个检测可以一个要素一个要素的编程,其中各个检测包括多个检测要素;
一个有限状态机,用于从所述寄存器组接收多个检测指令,其中所述有限状态机分配信号来指示检测模式发生器以产生检测模式;
一个存储器控制模块,用于将所产生的检测模式施加到存储器;以及
一个比较器模块,用于将从所述存储器接收的响应与所存储的、已知的响应相比较。
2.如权利要求1所述的系统,其中所述寄存器组包括用于定义所述多个检测要素的多个检测要素控制寄存器。
3.如权利要求2所述的系统,其中所述多个检测要素控制寄存器包括:
用于控制写功能的至少一个可编程位以及用于控制读功能的至少一个可编程位。
4.如权利要求2所述的系统,其中所述多个检测要素控制寄存器包括:用于启动重复功能的至少一个可编程位。
5.如权利要求2所述的系统,其中所述多个检测要素控制寄存器包括:用于启动刷新功能的至少一个可编程位。
6.如权利要求2所述的系统,其中所述寄存器组包括一个检测要素重复计数寄存器,其指定了对于定义了一个检测要素的至少一个检测要素控制寄存器,该检测要素被重复的次数;或者包括用于为多个检测要素控制寄存器定义检测设置的至少一个检测模式寄存器。
7.如权利要求6所述的系统,其中所述至少一个检测模式寄存器包括:
通过列地址用于控制数据模式触发的至少一个可编程位。
8.如权利要求6所述的系统,其中所述至少一个检测模式寄存器包括:通过行地址用于控制数据模式触发的至少一个可编程位以及用于控制数据模式触发来生成字线图案的至少一个可编程位。
9.如权利要求6所述的系统,其中所述至少一个检测模式寄存器包括:用于启动错误触发模式的至少一个可编程位。
10.如权利要求1所述的系统,其中所述寄存器组包括一个刷新时间寄存器,其定义了用于插入一个刷新的至少一个时间。
11.如权利要求1所述的系统,其中所述寄存器组包括一个组开始寄存器和一个组终止寄存器,其中所述组开始寄存器定义了用于一个检测的存储器的一个开始组,且组终止寄存器定义了用于一个检测的存储器的一个终止组。
12.如权利要求11所述的系统,其中所述组开始寄存器和所述组终止寄存器提供了检测分立存储器组和/或存储器组的不同组群的能力。
13.如权利要求1所述的系统,其中所述寄存器组包括一个检测循环寄存器,其选择重复一个检测直至接收到终止命令。
14.如权利要求1所述的系统,其中所述多个检测包括零-一、棋盘、MATS、MATS+、MATS++、进程X、进程C、进程C-、进程Y、MOVI和干扰。
15.如权利要求14所述的系统,其中所述多个检测的至少一个包括暂停检测要素。
16.一种用于检测集成电路的存储器的方法,其中所述存储器包括多个存储器组,所述方法包括:
编程包括了编程多个检测要素的寄存器组,其中编程多个检测要素的各种组合表示多个检测;
生成符合所述编程的寄存器组的多个检测指令;
在状态机处接收来自所述寄存器组的多个检测指令;
执行所述多个检测指令以检测存储器;
存储所执行的所述多个检测指令生成的结果;以及
通过将所述存储的结果与已知的响应相比较来确定存储器的质量。
17.如权利要求16所述的检测存储器的方法,其中编程多个检测要素进一步包括为多个检测要素的至少一个检测要素启动重复功能且为至少一个被重复的检测要素定义重复次数、或者为多个检测要素的至少一个检测要素启动刷新功能且定义用于插入刷新的时间。
18.如权利要求16所述的检测存储器的方法,其中编程寄存器组进一步包括定义一个开始存储器组和定义一个终止存储器组,其中定义开始存储器组和终止存储器组提供了检测分立存储器组和存储器组的不同组群的能力。
19.如权利要求16所述的检测存储器的方法,其中执行多个检测指令并存储所执行的多个指令生成的结果进一步包括:
探测和记录存储器中的第一错误的位置,存储所述位置作为触发点;
继续通过触发点的多个指令的执行直至探测和记录存储器中的第二错误的位置,存储第二错误的位置作为触发点;
继续通过触发点的多个指令的执行直至探测和记录存储器中的相继错误的位置,存储各个相继错误的位置作为触发点直至停止多个指令的执行。
CN200910166561.8A 2008-08-27 2009-08-26 用于随机存取存储器的可编程自检测 Active CN101661799B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US12/198,949 2008-08-27
US12/198,949 US7941713B2 (en) 2008-08-27 2008-08-27 Programmable self-test for random access memories

Publications (2)

Publication Number Publication Date
CN101661799A CN101661799A (zh) 2010-03-03
CN101661799B true CN101661799B (zh) 2014-03-26

Family

ID=41727081

Family Applications (1)

Application Number Title Priority Date Filing Date
CN200910166561.8A Active CN101661799B (zh) 2008-08-27 2009-08-26 用于随机存取存储器的可编程自检测

Country Status (2)

Country Link
US (1) US7941713B2 (zh)
CN (1) CN101661799B (zh)

Families Citing this family (33)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8429470B2 (en) 2010-03-10 2013-04-23 Micron Technology, Inc. Memory devices, testing systems and methods
NL2004407C2 (en) * 2010-03-16 2011-09-20 Tu Delft Generic march element based memory built-in self test.
AU2011295633A1 (en) 2010-08-31 2013-04-18 Saluda Medical Pty Limited Distributed implant systems
CN102013274B (zh) * 2010-11-10 2013-08-07 无锡中星微电子有限公司 一种存储器的自检测电路和方法
EP2707096B1 (en) 2011-05-13 2018-08-22 Saluda Medical Pty Limited Apparatus for measurement of neural response
US10568559B2 (en) 2011-05-13 2020-02-25 Saluda Medical Pty Ltd Method and apparatus for measurement of neural response
WO2012155189A1 (en) 2011-05-13 2012-11-22 National Ict Australia Ltd Method and apparatus for estimating neural recruitment - f
US9872990B2 (en) 2011-05-13 2018-01-23 Saluda Medical Pty Limited Method and apparatus for application of a neural stimulus
US20140236042A1 (en) 2011-05-13 2014-08-21 Saluda Medical Pty. Ltd. Method and apparatus for measurement of neural response
AU2013344311B2 (en) 2012-11-06 2017-11-30 Saluda Medical Pty Ltd Method and system for controlling electrical conditions of tissue
US9009550B2 (en) * 2012-12-10 2015-04-14 Texas Instruments Incorporated pBIST engine with distributed data logging
US8930783B2 (en) * 2012-12-10 2015-01-06 Texas Instruments Incorporated pBIST read only memory image compression
US20140281764A1 (en) * 2013-03-15 2014-09-18 Texas Instruments Incorporated Data path memory test
KR20150029213A (ko) * 2013-09-09 2015-03-18 삼성전자주식회사 다양한 테스트 패턴을 획득하는 자체 테스트 회로를 포함하는 시스템 온 칩 및 그것의 자체 테스트 방법
US11172864B2 (en) 2013-11-15 2021-11-16 Closed Loop Medical Pty Ltd Monitoring brain neural potentials
CA2929874C (en) 2013-11-22 2023-06-13 Saluda Medical Pty Ltd Method and device for detecting a neural response in a neural measurement
DK3139999T3 (da) 2014-05-05 2020-06-22 Saluda Medical Pty Ltd Forbedret neutral måling
US10275386B2 (en) * 2014-06-27 2019-04-30 Advanced Micro Devices, Inc. Memory physical layer interface logic for generating dynamic random access memory (DRAM) commands with programmable delays
DK3171929T3 (da) 2014-07-25 2021-05-25 Saluda Medical Pty Ltd Dosering til nervestimulation
AU2015349614B2 (en) 2014-11-17 2020-10-22 Saluda Medical Pty Ltd Method and device for detecting a neural response in neural measurements
AU2015362091B2 (en) 2014-12-11 2020-11-26 Saluda Medical Pty Ltd Method and device for feedback control of neural stimulation
AU2015362075B2 (en) 2014-12-11 2021-03-11 Saluda Medical Pty Ltd Implantable electrode positioning
US10918872B2 (en) 2015-01-19 2021-02-16 Saluda Medical Pty Ltd Method and device for neural implant communication
CN107530543B (zh) 2015-04-09 2021-03-02 萨鲁达医疗有限公司 电极到神经距离估计
EP3302692A4 (en) 2015-05-31 2019-01-16 Saluda Medical Pty Limited CEREBRAL NEUROSTIMULATOR ELECTRODE FIXATION
US10849525B2 (en) 2015-05-31 2020-12-01 Saluda Medical Pty Ltd Monitoring brain neural activity
EP3261533A4 (en) 2015-06-01 2018-10-31 Saluda Medical Pty Ltd Motor fibre neuromodulation
CN109219467B (zh) 2016-04-05 2022-08-16 萨鲁达医疗有限公司 神经调节的经改进反馈控制
CA3028241A1 (en) 2016-06-24 2017-12-28 Saluda Medical Pty Ltd Neural stimulation for reduced artefact
WO2019204884A1 (en) 2018-04-27 2019-10-31 Saluda Medical Pty Ltd Neurostimulation of mixed nerves
CN109545267A (zh) * 2018-10-11 2019-03-29 深圳大普微电子科技有限公司 闪存自检的方法、固态硬盘以及存储装置
CN113742198B (zh) * 2020-05-28 2023-12-05 龙芯中科技术股份有限公司 测试方法、装置、电子设备及存储介质
US20240069764A1 (en) * 2022-08-24 2024-02-29 Micron Technology, Inc. Single-bit error indication for a memory built-in self-test

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6658611B1 (en) * 1998-11-19 2003-12-02 Samsung Electronics Co., Ltd. Programmable built-in self-test system for semiconductor memory device
CN1534681A (zh) * 2003-02-17 2004-10-06 ��ʽ���綫֥ 磁随机存取存储器及其读出方法
CN1806293A (zh) * 2003-05-16 2006-07-19 阿纳洛格装置公司 通用可访问完全可编程的存储器内置自测系统和方法
CN101226776A (zh) * 2007-01-08 2008-07-23 Arm有限公司 集成电路的性能控制

Family Cites Families (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5157664A (en) * 1989-09-21 1992-10-20 Texas Instruments Incorporated Tester for semiconductor memory devices
JP2000074997A (ja) * 1998-09-01 2000-03-14 Advantest Corp Ic試験装置及び複合ic試験装置
US6651201B1 (en) 2000-07-26 2003-11-18 International Business Machines Corporation Programmable memory built-in self-test combining microcode and finite state machine self-test
US6715062B1 (en) * 2000-07-26 2004-03-30 International Business Machines Corporation Processor and method for performing a hardware test during instruction execution in a normal mode
US6728916B2 (en) * 2001-05-23 2004-04-27 International Business Machines Corporation Hierarchical built-in self-test for system-on-chip design
KR100540506B1 (ko) * 2004-08-03 2006-01-11 주식회사 유니테스트 메모리 소자 테스트를 위한 알고리즘 패턴 생성기 및 이를이용한 메모리 테스터
US7308623B2 (en) * 2005-03-10 2007-12-11 Arm Limited Integrated circuit and method for testing memory on the integrated circuit

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6658611B1 (en) * 1998-11-19 2003-12-02 Samsung Electronics Co., Ltd. Programmable built-in self-test system for semiconductor memory device
CN1534681A (zh) * 2003-02-17 2004-10-06 ��ʽ���綫֥ 磁随机存取存储器及其读出方法
CN1806293A (zh) * 2003-05-16 2006-07-19 阿纳洛格装置公司 通用可访问完全可编程的存储器内置自测系统和方法
CN101226776A (zh) * 2007-01-08 2008-07-23 Arm有限公司 集成电路的性能控制

Also Published As

Publication number Publication date
US7941713B2 (en) 2011-05-10
CN101661799A (zh) 2010-03-03
US20100058126A1 (en) 2010-03-04

Similar Documents

Publication Publication Date Title
CN101661799B (zh) 用于随机存取存储器的可编程自检测
CN100550191C (zh) 通用可访问完全可编程的存储器内置自测系统和方法
KR100245946B1 (ko) 테스트 기능 내장 반도체 기억 장치
US9831000B2 (en) Testing electronic memories based on fault and test algorithm periodicity
KR100922422B1 (ko) 반도체 시험 장치 및 반도체 메모리의 시험 방법
US7093176B2 (en) Programmable test for memories
US6981188B2 (en) Non-volatile memory device with self test
US7729185B2 (en) Apparatus and method for detection of address decoder open faults
US9324407B2 (en) Semiconductor apparatus capable of preventing refresh error and memory system using the same
US7213186B2 (en) Memory built-in self test circuit with full error mapping capability
CN103456366A (zh) 具有自包含式测试单元的半导体存储器件及其测试方法
CN104425040A (zh) 用于测试存储器的方法和系统
JP2002216496A (ja) 半導体メモリ装置
US9058896B2 (en) DRAM refresh
US7856577B2 (en) Command language for memory testing
US6721230B2 (en) Integrated memory with memory cells in a plurality of memory cell blocks, and method of operating such a memory
US7120841B2 (en) Data generator for generating test data for word-oriented semiconductor memories
CN110648715B (zh) 一种低电压sram写半选择故障的测试方法
US9761329B2 (en) Built-in self-test (BIST) circuit and associated BIST method for embedded memories
JP5127078B2 (ja) Mramテスティング
KR20160094686A (ko) 반도체 장치 및 그의 구동방법
US20030159095A1 (en) Low cost built-in self test state machine for general purpose RAM testing
EP1343174B1 (en) Programmable test for memories
US20070168775A1 (en) Programmable Memory Test Controller
CN114898795A (zh) 一种芯片读写检测方法、装置、控制器及介质

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant