CN101251870A - 一种仿真验证多电源域中的隔离单元的方法 - Google Patents

一种仿真验证多电源域中的隔离单元的方法 Download PDF

Info

Publication number
CN101251870A
CN101251870A CNA2008101025142A CN200810102514A CN101251870A CN 101251870 A CN101251870 A CN 101251870A CN A2008101025142 A CNA2008101025142 A CN A2008101025142A CN 200810102514 A CN200810102514 A CN 200810102514A CN 101251870 A CN101251870 A CN 101251870A
Authority
CN
China
Prior art keywords
signal
power domain
isolated location
output
input
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
CNA2008101025142A
Other languages
English (en)
Inventor
陈洪
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Vimicro Corp
Original Assignee
Vimicro Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Vimicro Corp filed Critical Vimicro Corp
Priority to CNA2008101025142A priority Critical patent/CN101251870A/zh
Publication of CN101251870A publication Critical patent/CN101251870A/zh
Pending legal-status Critical Current

Links

Images

Abstract

一种仿真验证多电源域中的隔离单元的方法,在进行仿真验证时,将对第一电源域的输出接口与第二电源域的输入接口进行隔离的隔离单元设置为隔离状态后,根据所述第一电源域的输出接口输出的输出信号,对输入所述第二电源域的输入接口的输入信号,或该输入信号经过所述第二电源域的功能模块处理后所生成的后续处理信号进行判断,若所述输入信号或后续处理信号中包含所述输出信号或包含与所述输出信号相应的信号,则判定所述隔离单元失效。本发明通过借助现有的EDA工具对隔离单元的输出信号或该信号所生成的波形文件数据进行判断,对隔离单元的有效性进行验证,弥补了现有的仿真验证方法的空白。

Description

一种仿真验证多电源域中的隔离单元的方法
技术领域
本发明涉及一种仿真验证多电源域中的隔离单元的方法。
背景技术
20世纪90年代,在电子电路设计领域,可编程逻辑器件,如CPLD(Complex Programmable Logic Device,复杂可编程逻辑器件)、FPGA(FieldProgrammable Gate Array,现场可编程门阵列)的应用得到广泛的普及,这些器件为数字系统的设计带来了极大的灵活性。这些器件可以通过软件编程而对其硬件结构和工作方式进行重构,从而使得硬件的设计可以如同软件设计那样方便快捷。这极大地改变了传统的数字系统设计方法、设计过程和设计观念,促进了EDA(Electronic Design Automation,电子设计自动化)技术的迅速发展。
EDA技术是以计算机为工具,根据硬件描述语言(Hardware DescriptionLanguage,简称HDL)完成的设计文件,自动地完成逻辑编译、化简、分割、综合及优化、布局布线、仿真以及对于特定目标芯片的适配编译和编程下载等工作。EDA技术的出现,极大地提高了电路设计的效率,减轻了设计者的劳动强度。
在芯片设计的过程中,除了芯片的性能、成本以及上市之外,也应该将芯片级或系统级的功耗要求考虑在内。在系统级芯片中节省功耗的一种方法是采用多电源域设计。可以仅在较低电源电压下运行某些电源域,或者关闭处于空闲状态的电源域。
但是,现有的EDA工具都不具备对多电源域(Power Domain)进行仿真的功能,无法通过EDA仿真对芯片中的多电源域设计进行验证,找出其中的设计错误。因此,在包含多电源域的芯片设计过程中,只能采用手工的方法把隔离单元(Isolaion Cell)或者电平转换器(Level Shifter)插入相邻的电源域之间。这种手工的方法非常繁琐,并且可靠性低。
发明内容
本发明所要解决的技术问题是,克服现有技术的不足,提供一种可自动进行的、可靠性高的对多电源域中的隔离单元进行仿真验证的方法。
为了解决上述问题,本发明提供一种仿真验证多电源域中的隔离单元的方法,在进行仿真验证时,将对第一电源域的输出接口与第二电源域的输入接口进行隔离的隔离单元设置为隔离状态后,根据所述第一电源域的输出接口输出的输出信号,对输入所述第二电源域的输入接口的输入信号,或该输入信号经过所述第二电源域的功能模块处理后所生成的后续处理信号进行判断,若所述输入信号或后续处理信号中包含所述输出信号或包含与所述输出信号相应的信号,则判定所述隔离单元失效。
此外,所述输出信号为X态信号。
此外,通过关闭所述第一电源域的功能模块使第一电源域的输出接口输出所述X态信号。
此外,向所述第一电源域的输入接口输入测试向量生成所述输出信号;所述第一电源域的输入接口与所述第一电源域的输出接口相连。
此外,通过设置与所述第二电源域的输入接口或输出接口相连的判断逻辑对所述输入信号或所述后续处理信号进行所述判断。
此外,生成所述输入信号或所述后续处理信号的波形文件数据,通过该波形文件数据对所述输入信号或所述后续处理信号进行所述判断。
由上可知,本发明通过借助现有的EDA工具对隔离单元的输出信号或该信号所生成的波形文件数据进行判断,对隔离单元的有效性进行验证,弥补了现有的仿真验证方法的空白。
附图说明
图1是包含一个待检测隔离单元的芯片结构示意图;
图2是本发明第一实施例对多电源域中的隔离单元进行仿真验证的方法流程图;
图3是包含一个待检测隔离单元和判断逻辑的芯片结构示意图;
图4是本发明第二实施例对多电源域中的隔离单元进行仿真验证的方法流程图。
具体实施方式
本发明的思路是在使用EDA工具对包含多电源域的芯片进行仿真时,将待检测隔离单元设置为隔离状态后,通过对该隔离单元输出端输出的信号(简称隔离单元输出信号)与该隔离单元输入端输入的信号(简称隔离单元输入信号)进行对比,根据对比结果验证该隔离单元的有效性。
下面将结合附图和实施例对本发明进行详细描述。
图1是包含一个待检测隔离单元的芯片结构示意图。如图1所示,该芯片中包含两个电源域(电源域1,电源域2)、PMU(电源管理单元)和隔离单元。
其中,各电源域中包含多个功能模块和输入接口、输出接口;各功能模块将输入接口输入的信号进行处理,并将处理后的信号通过输出接口输出。在图1所示的芯片结构中,信号传送方向为电源域1至电源域2,即电源域1通过输入接口接收输入信号,经过相关功能模块的处理后从输出接口输出至电源域2。
图1中,电源域1和电源域2中包含隔离单元,当隔离单元被设置为连通状态时,电源域1的输出接口输出的信号可通过该隔离单元传送至电源域2的输入接口;当隔离单元被设置为隔离状态,且该隔离单元有效(即隔离单元本身设计正确且被正确插入)时,电源域1中信号无法传送至电源域2。
隔离单元可以是锁存器,也可以由与或非门等标准单元生成。
PMU可向各电源域发送开关控制信号,以打开或关闭电源域1和电源域2中的功能模块;此外,PMU在关闭电源域的同时,还可向相关的隔离单元发送隔离单元控制信号,以将隔离单元设置为隔离状态;在打开电源域的同时,可向相关的隔离单元发送隔离单元控制信号,将隔离单元设置为连通状态。
图2是本发明第一实施例对多电源域中的隔离单元进行仿真验证的方法流程图。如图2所示,该方法包含如下步骤:
101:在使用EDA工具进行仿真验证时,增加判断逻辑,该判断逻辑的作用是对待检测隔离单元的输出信号进行验证;
上述判断逻辑对待检测隔离单元的输出信号进行判断,以验证隔离单元的有效性。如图3所示,在本实施例中,判断逻辑与电源域2的输入接口相连,对隔离单元的输出信号进行判断。
102:使用EDA工具向PMU模块输入第一测试向量,使PMU模块向电源域1输出开关控制信号,关闭(Power Down)电源域1的功能模块;并向隔离单元输出隔离单元控制信号,将隔离单元设置为隔离状态;
103:使用EDA工具向电源域1的功能模块输入第二测试向量,使电源域1的功能模块输出X态(未知状态)信号;
通常,当电源域的功能模块关闭后,即使没有输入的测试向量,也会产生X态信号。
104:判断逻辑在电源域2的输入接口对隔离单元的输出信号进行判断,若出现(包含)X态信号,即表明电源域1的X态传播到了电源域2,判定隔离单元处于无效状态,判断逻辑输出无效状态的判断结果;否则判定隔离单元处于有效状态,判断逻辑输出有效状态的判断结果。
上述判断逻辑可以通过调用EDA工具提供的特定信号判断语句对X态信号进行判别。
例如,使用以下硬件描述语句对信号signal_a中是否包含X态信号进行判别,判断结果存入变量result中:
Case(signal_a)
1’b1:result=1;
1’b0:result=0;
1’bx:result=X态;
Endcase
上述1’b1表示长度为1比特的信号,其值为1;1’b0表示长度为1比特的信号,其值为0;1’bx表示长度为1比特的信号,为X态信号。
此外,除了上述通过判断逻辑对隔离单元的输出信号进行判断外,也可以使用EDA工具将隔离单元的输出信号的波形数据存储为波形文件,通过分析该波形文件的数据来判断隔离单元的输出信号是否包含X态信号。
图4是本发明第二实施例对多电源域中的隔离单元进行仿真验证的方法流程图。如图4所示,该方法包含如下步骤:
201:在使用EDA工具进行仿真验证时,增加判断逻辑对待检测隔离单元的输出信号进行验证;
202:使用EDA工具向隔离单元输出隔离单元控制信号,将隔离单元设置为隔离状态;
通常,上述隔离单元控制信号由PMU发送,即使用EDA工具由PMU输出隔离单元控制信号。
203:使用EDA工具向电源域1的功能模块输入第三测试向量,使电源域1的功能模块输出与该测试向量相对应的输出信号;
204:判断逻辑在电源域2的输入接口对隔离单元的输出信号进行判断,若与第三测试向量对应的测试信号(即电源域1的输出信号)相同,即判定隔离单元处于无效状态,判断逻辑输出无效状态的判断结果;否则判定隔离单元处于有效状态,判断逻辑输出有效状态的判断结果。
例如,第三测试向量对应的测试信号为连续多个0,使用以下判断语句判断8比特的输出信号isocell_output是否为连续的8比特的0信号,若是,则将作为判断结果的变量result置为1(真),否则设置为0(假):
If(isocell_output==8’h0)
  result=1;
Else
  result=0;
此外,除了上述通过判断逻辑对隔离单元的输出信号进行判断外,也可以使用EDA工具将隔离单元的输出信号的波形数据存储为波形文件,通过分析该波形文件的数据来判断隔离单元的输出信号是否包含X态信号。
由上可知,本发明通过借助现有的EDA工具对隔离单元的输出信号或该信号所生成的波形文件数据进行判断,对隔离单元的有效性进行验证,弥补了现有的仿真验证方法的空白。
基于本发明的基本原理,上述实施例还可以有多种变化方式,例如:
除了在电源域2对隔离单元的输出信号进行判断以外,还可以在电源域2的输出接口,或在电源域2中增加判断逻辑进行判断。
由于X态信号在经过电源域2的功能模块进行处理后,仍然生成X态信号,因此对于上述第一实施例,若在电源域2的输出接口或电源域2的功能模块中发现X态信号,也可判定隔离单元失效。
对于本发明第二实施例,对于任何预先设定的第三测试向量,电源域1的功能模块对该测试向量进行处理并输出的输出信号是确定的,同样,该输出信号经过电源域1的功能模块的处理所生成的后续处理信号也是确定的;因此,可以通过对后续处理信号的判断验证隔离单元的有效性。

Claims (6)

1、一种仿真验证多电源域中的隔离单元的方法,其特征在于,在进行仿真验证时,将对第一电源域的输出接口与第二电源域的输入接口进行隔离的隔离单元设置为隔离状态后,根据所述第一电源域的输出接口输出的输出信号,对输入所述第二电源域的输入接口的输入信号,或该输入信号经过所述第二电源域的功能模块处理后所生成的后续处理信号进行判断,若所述输入信号或后续处理信号中包含所述输出信号或包含与所述输出信号相应的信号,则判定所述隔离单元失效。
2、如权利要求1所述的仿真验证多电源域中的隔离单元的方法,其特征在于,所述输出信号为X态信号。
3、如权利要求2所述的仿真验证多电源域中的隔离单元的方法,其特征在于,通过关闭所述第一电源域的功能模块使第一电源域的输出接口输出所述X态信号。
4、如权利要求1所述的仿真验证多电源域中的隔离单元的方法,其特征在于,向所述第一电源域的输入接口输入测试向量生成所述输出信号;所述第一电源域的输入接口与所述第一电源域的输出接口相连。
5、如权利要求1所述的仿真验证多电源域中的隔离单元的方法,其特征在于,通过设置与所述第二电源域的输入接口或输出接口相连的判断逻辑对所述输入信号或所述后续处理信号进行所述判断。
6、如权利要求1所述的仿真验证多电源域中的隔离单元的方法,其特征在于,生成所述输入信号或所述后续处理信号的波形文件数据,通过该波形文件数据对所述输入信号或所述后续处理信号进行所述判断。
CNA2008101025142A 2008-03-21 2008-03-21 一种仿真验证多电源域中的隔离单元的方法 Pending CN101251870A (zh)

Priority Applications (1)

Application Number Priority Date Filing Date Title
CNA2008101025142A CN101251870A (zh) 2008-03-21 2008-03-21 一种仿真验证多电源域中的隔离单元的方法

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
CNA2008101025142A CN101251870A (zh) 2008-03-21 2008-03-21 一种仿真验证多电源域中的隔离单元的方法

Publications (1)

Publication Number Publication Date
CN101251870A true CN101251870A (zh) 2008-08-27

Family

ID=39955258

Family Applications (1)

Application Number Title Priority Date Filing Date
CNA2008101025142A Pending CN101251870A (zh) 2008-03-21 2008-03-21 一种仿真验证多电源域中的隔离单元的方法

Country Status (1)

Country Link
CN (1) CN101251870A (zh)

Cited By (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN101719181B (zh) * 2009-12-03 2012-07-04 无锡中星微电子有限公司 一种多电源域集成电路的动态验证装置及方法
CN103138722A (zh) * 2011-12-05 2013-06-05 联发科技股份有限公司 隔离单元以及集成电路
WO2013102282A1 (zh) * 2012-01-06 2013-07-11 北京航空航天大学 一种航空参数处理设备电源模块的fmis方法
CN103884981A (zh) * 2014-04-16 2014-06-25 威盛电子股份有限公司 隔离电路
CN105137330A (zh) * 2014-05-22 2015-12-09 炬芯(珠海)科技有限公司 多电压域数字电路的验证装置及其运行方法
CN105528477A (zh) * 2015-11-30 2016-04-27 青岛海信信芯科技有限公司 芯片内部功能模块的电源压降检测方法、装置及芯片
CN108170255A (zh) * 2018-01-24 2018-06-15 苏州国芯科技有限公司 一种控制装置
CN112069749A (zh) * 2020-09-14 2020-12-11 成都海光微电子技术有限公司 一种电源连接验证方法、装置、电子设备和存储介质
WO2021134200A1 (zh) * 2019-12-30 2021-07-08 成都海光集成电路设计有限公司 芯片设计方法、芯片设计装置、芯片及电子设备
CN113093899A (zh) * 2021-04-09 2021-07-09 思澈科技(上海)有限公司 一种跨电源域数据传输方法

Cited By (17)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN101719181B (zh) * 2009-12-03 2012-07-04 无锡中星微电子有限公司 一种多电源域集成电路的动态验证装置及方法
US9374089B2 (en) 2011-12-05 2016-06-21 Mediatek Inc. Isolation cell
CN103138722A (zh) * 2011-12-05 2013-06-05 联发科技股份有限公司 隔离单元以及集成电路
WO2013102282A1 (zh) * 2012-01-06 2013-07-11 北京航空航天大学 一种航空参数处理设备电源模块的fmis方法
CN103884981A (zh) * 2014-04-16 2014-06-25 威盛电子股份有限公司 隔离电路
CN105137330B (zh) * 2014-05-22 2018-09-25 炬芯(珠海)科技有限公司 多电压域数字电路的验证装置及其运行方法
CN105137330A (zh) * 2014-05-22 2015-12-09 炬芯(珠海)科技有限公司 多电压域数字电路的验证装置及其运行方法
CN105528477A (zh) * 2015-11-30 2016-04-27 青岛海信信芯科技有限公司 芯片内部功能模块的电源压降检测方法、装置及芯片
CN105528477B (zh) * 2015-11-30 2019-07-05 青岛海信电器股份有限公司 芯片内部功能模块的电源压降检测方法、装置及芯片
CN108170255A (zh) * 2018-01-24 2018-06-15 苏州国芯科技有限公司 一种控制装置
CN108170255B (zh) * 2018-01-24 2020-07-03 苏州国芯科技股份有限公司 一种控制装置
WO2021134200A1 (zh) * 2019-12-30 2021-07-08 成都海光集成电路设计有限公司 芯片设计方法、芯片设计装置、芯片及电子设备
US11775717B2 (en) 2019-12-30 2023-10-03 Chengdu Haiguang Integrated Circuit Design Co., Ltd. Chip design method, chip design device, chip, and electronic device
CN112069749A (zh) * 2020-09-14 2020-12-11 成都海光微电子技术有限公司 一种电源连接验证方法、装置、电子设备和存储介质
CN112069749B (zh) * 2020-09-14 2021-07-20 成都海光微电子技术有限公司 一种电源连接验证方法、装置、电子设备和存储介质
CN113093899A (zh) * 2021-04-09 2021-07-09 思澈科技(上海)有限公司 一种跨电源域数据传输方法
CN113093899B (zh) * 2021-04-09 2022-03-22 思澈科技(上海)有限公司 一种跨电源域数据传输方法

Similar Documents

Publication Publication Date Title
CN101251870A (zh) 一种仿真验证多电源域中的隔离单元的方法
US8161439B2 (en) Method and apparatus for processing assertions in assertion-based verification of a logic design
TW201428521A (zh) 設計及模擬系統、裝置及方法
US20100313175A1 (en) Verification systems and methods
US9189578B1 (en) System, method, and computer program product for power supply network visualization
Liu et al. On signal tracing for debugging speedpath-related electrical errors in post-silicon validation
US8954904B1 (en) Veryifing low power functionality through RTL transformation
CN108957301B (zh) 用于可测试芯片的测试方法、装置及可测试芯片内置电路
JP2000207440A (ja) 半導体集積回路の設計検証装置、方法及び記憶媒体
CN114880975A (zh) 一种硬件木马的生成方法、系统、设备以及介质
CN108647533B (zh) 用于检测硬件木马的安全断言自动生成方法
Neishaburi et al. Enabling efficient post-silicon debug by clustering of hardware-assertions
US9057765B2 (en) Scan compression ratio based on fault density
Escobar et al. Automatic generation of an FPGA based embedded test system for printed circuit board testing
Karimi et al. Testing of clock-domain crossing faults in multi-core system-on-chip
CN109711204B (zh) 基于路径延迟指纹的硬件木马检测方法
CN108134717B (zh) 基于有界模型检验的片上网络固定型故障在线测试方法
Zhang et al. Automatic test program generation for out-of-order superscalar processors
Lee et al. On-chip dynamic signal sequence slicing for efficient post-silicon debugging
CN101644744A (zh) 一种测试向量的调节对比方法
Kinage et al. Design and implementation of FPGA soft core processor for low power multicore Embedded system using VHDL
Fern et al. Mining mutation testing simulation traces for security and testbench debugging
Neishaburi et al. Hierarchical trigger generation for post-silicon debugging
CN102262205B (zh) 一种测试向量源文件的测试点的屏蔽方法和屏蔽装置
Wang et al. Experience in Validation of PowerPCTM Microprocessor Embedded Arrays

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C12 Rejection of a patent application after its publication
RJ01 Rejection of invention patent application after publication

Open date: 20080827