CN100561706C - The formation method of dual-damascene structure - Google Patents

The formation method of dual-damascene structure Download PDF

Info

Publication number
CN100561706C
CN100561706C CNB2007100402404A CN200710040240A CN100561706C CN 100561706 C CN100561706 C CN 100561706C CN B2007100402404 A CNB2007100402404 A CN B2007100402404A CN 200710040240 A CN200710040240 A CN 200710040240A CN 100561706 C CN100561706 C CN 100561706C
Authority
CN
China
Prior art keywords
layer
metal level
dielectric layer
photoresist
mask pattern
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
CNB2007100402404A
Other languages
Chinese (zh)
Other versions
CN101295667A (en
Inventor
徐载景
张迎春
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Semiconductor Manufacturing International Shanghai Corp
Semiconductor Manufacturing International Beijing Corp
Original Assignee
Semiconductor Manufacturing International Shanghai Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Semiconductor Manufacturing International Shanghai Corp filed Critical Semiconductor Manufacturing International Shanghai Corp
Priority to CNB2007100402404A priority Critical patent/CN100561706C/en
Publication of CN101295667A publication Critical patent/CN101295667A/en
Application granted granted Critical
Publication of CN100561706C publication Critical patent/CN100561706C/en
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Landscapes

  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Abstract

The invention provides a kind of formation method of dual-damascene structure, comprising: the Semiconductor substrate with dielectric layer is provided, and described dielectric layer surface has cover layer; Form metal level in described cover surface; The described metal level of patterning forms through hole in described dielectric layer; In described through hole, fill sacrifice layer; The described metal level of patterning forms groove in described dielectric layer; Remove described sacrifice layer.The formation method of dual-damascene structure of the present invention can be eliminated the generation of photoresist intoxicating phenomenon.

Description

The formation method of dual-damascene structure
Technical field
The present invention relates to technical field of manufacturing semiconductors, the formation method of particularly a kind of dual-damascene structure (dualdamascene structure).
Background technology
Current semiconductor device processing technology develop rapidly, semiconductor device has had the deep-submicron structure, comprises the semiconductor element of enormous quantity in the integrated circuit.In large scale integrated circuit like this, the high-performance between the element, highdensity connection not only interconnect in single interconnection layer, and will interconnect between multilayer.Therefore, multilayer interconnect structure is provided usually, the multilayer interconnect structure that particularly utilizes dual damascene (dual-damascene) technology to form, this technology forms groove (trench) and through hole (via) in dielectric layer, then with electric conducting material for example copper (Cu) described groove of filling and through hole.This interconnection structure is used widely in the integrated circuit manufacturing.
The technology of dual-damascene structure formation method focuses on the lithographic technique of groove and through hole.Exist two kinds of methods to form double-embedded structure at present, first method is prior to utilizing the photoresist mask etching to go out groove in the dielectric layer, utilizing another photoresist mask etching through hole then; Another kind method is at first to utilize the photoresist mask etching to go out through hole in dielectric layer, and then utilizes another photoresist mask etching to go out groove.But no matter be which kind of method, all need between dielectric layer and metal interconnecting wires layer, form the nitrogenous barrier layer of one deck.Along with the characteristic size of device is constantly dwindled, the dense degree of device is more and more higher in the substrate, to the demands for higher performance of the high speed processing signal under the performance of integrated circuit especially radio frequency conditions.In order to reduce the delay of radiofrequency signal in circuit, generally adopt low-k (low k) material as dielectric layer at present, postpone with the RC that reduces in the circuit.Yet because the density of low k material is lower, a large amount of uses of low-density dielectric material can bring some negative issues to making dual-damascene structure.For example, application number is to have described a kind of double mosaic structure manufacture method in 200510056297.4 the Chinese patent application.Fig. 1 to Fig. 5 is this existing generalized section of making the dual-damascene structure method of explanation.,, when forming dual-damascene structure, between substrate 10 surfaces with interconnection line 12a and 12b and dielectric layer 14, form usually among Fig. 1 to shown in Figure 5 as Fig. 1 by SiCN or Si 3N 4The barrier layer of forming 13.Barrier layer 13 can prevent that the metallic copper among the interconnection line 12a and 12b is diffused in the dielectric layer 14 in the substrate 10, also can prevent that interconnection line 12a and 12b are etched in the etching process.Among Fig. 2, in dielectric layer 14, utilize technologies such as photoetching, etching to form through hole 15a and 15b, afterwards, in Fig. 3, at substrate surface coating organic antireflection layer (organic barc) 16.Then, as shown in Figure 4,, and pass through photoresist 17a and the 17b that technologies such as exposure, development form patterning at organic antireflection layer 16 surface coated silicon-based antifrelective layers (Si-BARC) 17.Be that mask etching organic antireflection layer 16 and dielectric layer 14 form groove with photoresist 17a and 17b subsequently, in this process, nitrogen ion in the barrier layer 13 can pass low-density dielectric layer 14 and organic antireflection layer 16 and silicon-based antifrelective layer 17 and react with photoresist 17a and 17b, on the photoresist sidewall, form high molecular polymer " lump " 18a and the 18b of indissoluble, as shown in Figure 5, this paper is called this phenomenon " photoresist poisoning (resist poisoning) ".The photoresist intoxicating phenomenon can cause groove figure defective to occur.
Summary of the invention
The object of the present invention is to provide the formation method of dual-damascene structure, can eliminate the generation of photoresist intoxicating phenomenon.
A kind of formation method of dual-damascene structure is provided on the one hand, has comprised:
Semiconductor substrate with dielectric layer is provided, and described dielectric layer surface has cover layer;
Form metal level in described cover surface;
The described metal level of patterning forms through hole in described dielectric layer;
In described through hole, fill sacrifice layer;
The described metal level of patterning forms groove in described dielectric layer;
Remove described sacrifice layer.
Wherein, the described metal level of patterning, the step that forms through hole in described dielectric layer comprises: form first mask pattern at described layer on surface of metal; On described first mask pattern, form second mask pattern; Through the described metal level of the described second mask pattern etching until exposing described cover layer; Remove described second mask pattern; With described metal level is that the described dielectric layer of mask etching forms through hole.
The step that forms first mask pattern comprises: form organic antireflection layer at described layer on surface of metal; At described organic antireflection layer surface coated photoresist layer; The described photoresist layer of patterning; Photoresist layer with patterning is that the described organic antireflection layer of mask etching is until exposing described metal level.
The described metal level of patterning, the step that forms groove in described dielectric layer comprises: with described first mask pattern is that the metal level that exposes of mask etching is until exposing described cover layer; Remove described first mask pattern; With described metal level is that the described dielectric layer of mask etching forms groove.
The step that forms described second mask pattern comprises: at the described metal level and the first mask pattern surface coated photoresist layer; The described photoresist layer of patterning is to form second mask pattern of definition lead to the hole site.
Described metal layer thickness is
Figure C20071004024000061
The material of described metal level is an aluminium.The thickness of described organic antireflection layer is
Figure C20071004024000062
The material of described sacrifice layer is organic antireflecting material Organic BARC.
A kind of formation method of dual-damascene structure is provided on the other hand, has comprised:
Semiconductor substrate with dielectric layer is provided, and described dielectric layer surface has cover layer;
Form the first metal layer in described cover surface;
The described the first metal layer of patterning forms through hole in described dielectric layer
Remove described the first metal layer;
At described substrate surface coating sacrifice layer, described through hole is filled and covered to described sacrifice layer;
Form second metal level in described sacrificial layer surface;
Described second metal level of patterning forms groove in described dielectric layer;
Remove described sacrifice layer and described second metal level.
Wherein, the step of the described the first metal layer of patterning comprises: form organic antireflection layer on described the first metal layer surface; At described organic antireflection layer surface coated photoresist layer; The described photoresist layer of patterning; With the photoresist layer of patterning is that the described organic antireflection layer of mask etching and described the first metal layer are until exposing described cover layer; Remove described photoresist layer and organic antireflection layer.
The step of described second metal level of patterning comprises: form organic antireflection layer at described second layer on surface of metal; At described organic antireflection layer surface coated photoresist layer; The described photoresist layer of patterning; With the photoresist layer of patterning is that the described organic antireflection layer of mask etching and described second metal level are until exposing described sacrifice layer; Remove described photoresist layer.
The material of described sacrifice layer is organic antireflecting material Organic BARC.Described metal layer thickness is
Figure C20071004024000071
The material of described metal level is an aluminium.The thickness of described organic antireflection layer is
Figure C20071004024000072
Compared with prior art, technique scheme has the following advantages:
The formation method of dual-damascene structure of the present invention at first on dielectric layer deposit one metal level form organic antireflection layer at this layer layer on surface of metal then as hard mask layer so that the photoresist mask pattern that forms is more clear.By adopting metal level, can stop the nitrogen ion in the barrier layer to contact on the one hand, thereby prevent the generation of photoresist intoxicating phenomenon with photoresist as hard mask layer (hard mask); On the other hand, owing to adopted metal hard mask layer, photoresist need not be coated with very thickly, not only can prevent the photoresist figure phenomenon of must collapsing, and help further improving etching resolution.Metal hard mask helps the meticulous more small through hole and the etching of groove, adopts common organic antireflection layer (Organic BARC) to replace silicon-based antifrelective layer (Si-BARC) just can make the etching figure more clear on its surface, has reduced manufacturing cost.In addition, utilize Organic BARC layer as etching sacrificial layer, the effect of bond hard mask layer can an etching form dual-damascene structure.And photoresist was removed before etching process, only need remove remaining BARC after the etching, had simplified manufacturing process.
Description of drawings
By the more specifically explanation of the preferred embodiments of the present invention shown in the accompanying drawing, above-mentioned and other purpose, feature and advantage of the present invention will be more clear.Reference numeral identical in whole accompanying drawings is indicated identical part.Painstakingly do not draw accompanying drawing in proportion, focus on illustrating purport of the present invention.In the accompanying drawings, for cheer and bright, amplified the thickness in layer and zone.
Fig. 1 to Fig. 5 is the existing generalized section that forms the dual-damascene structure method of explanation;
Fig. 6 to Figure 17 is the generalized section according to the dual-damascene structure formation method of first embodiment of the invention;
Figure 18 to Figure 25 is the generalized section according to the dual-damascene structure formation method of second embodiment of the invention.
Embodiment
For above-mentioned purpose of the present invention, feature and advantage can be become apparent more, the specific embodiment of the present invention is described in detail below in conjunction with accompanying drawing.
A lot of details have been set forth in the following description so that fully understand the present invention.But the present invention can implement much to be different from alternate manner described here, and those skilled in the art can do similar popularization under the situation of intension of the present invention.Therefore the present invention is not subjected to the restriction of following public concrete enforcement.
Fig. 6 to Figure 17 is the generalized section according to the dual-damascene structure formation method of first embodiment of the invention.Described schematic diagram is an example, and it should excessively not limit the scope of protection of the invention at this.At first, as shown in Figure 6, have the interconnection structure that multilayer comprises dielectric layer on the Semiconductor substrate usually, for only showing one dielectric layer 100 among the figure for simplicity, this layer is also referred to as metal intermetallic dielectric layer, and its material can be silica.In dielectric layer 100, form copper conductor 101 by photoetching, etching and depositing technics.Utilize cmp (CMP) technology with dielectric layer 100 and copper conductive connecting line 101 surface rubbings.Then, utilize CVD technology on above-mentioned dielectric layer 100 and copper conductive connecting line 101 surface deposition barrier layers 102, the material on barrier layer 102 can be silicon nitride (Si3N4) or silicon oxynitride (SiON), or nitrogen silicon oxide carbide (SiOCN), and thickness is
Figure C20071004024000081
Above-mentioned barrier layer 102 is taken as etching stopping layer on the other hand on the one hand as the diffusion impervious layer of copper in the conductive connecting line 101 in the step of subsequent etching connecting hole.Then, at above-mentioned barrier layer 102 surface deposition thickness be
Figure C20071004024000082
Dielectric layer 103, this layer is also referred to as interlayer dielectric layer.Dielectric layer 103 is the inorganic silicon matrix layers (Inorganic silicon based layer) by the low-k of CVD (Chemical Vapor Deposition) method deposition, for example Material Used (AppliedMaterials) house mark be black diamond (black diamond) silicon dioxide (SiO2), contain silicon oxide carbide (SiCO) or fluorinated silica glass (FSG).Subsequently, utilize pecvd process to deposit the cover layer 104 of layer of material for silica again on dielectric layer 103 surfaces, thickness is
Figure C20071004024000091
Be used for protecting the dielectric constant of advanced low-k materials dielectric layer 103 not to be subjected to the influence of subsequent technique.
In ensuing processing step, utilize physical vapor deposition (PVD) technology at cover layer 104 surface deposition layer of metal layers 105, its material can be aluminium, and thickness is Hard mask when this metal level is used as the subsequent etching dielectric layer.Utilize spin coating (spinon) technology coating anti-reflecting layer 106 on metal level 105 surfaces, in the present embodiment, owing to use metal level as hard mask, the material of anti-reflecting layer 106 can be selected common organic antireflecting material (Organic Barc), and thickness is
Figure C20071004024000093
Then,, and utilize photoetching process, the photoresist figure 107 that for example expose, formation such as development has the groove opening pattern at anti-reflecting layer 106 surface coated photoresists.Above-mentioned photoresist figure 107, anti-reflecting layer 106 and metal level 105 have constituted three-decker.
Next as shown in Figure 7, be mask etching anti-reflecting layer 106 with photoresist figure 107, until exposing metal level 105, remove above-mentioned photoresist figure 107 and anti-reflecting layer 106 then.Also utilize technologies such as exposure, development to form another photoresist figure 108 and anti-reflecting layer figure 106 ' at metal level 105 surface coated anti-reflecting layers and photoresist layer subsequently, this photoresist figure 108 defines the position of through hole, as shown in Figure 8.Next be that mask etching part anti-reflecting layer 106 ' and metal level 105 are until exposing cover layer 104, as shown in Figure 9 with photoresist figure 108.
Then as shown in figure 10, utilize wet method or plasma ashing (ashing) technology to remove photoresist figure 108.Be that mask etching cover layer 104 and dielectric layer 103 form through hole with metal level 105 then, as shown in figure 11.In etching process, adopt metal level 105 as hard mask, replace photoresist, prevented that the nitrogen ion in the barrier layer 102 from contacting with photoresist, thereby prevented the generation of photoresist intoxicating phenomenon.
In ensuing processing step, as shown in figure 12, in through hole, fill sacrifice layer 109.For reducing production costs, simplify technology, the material of sacrifice layer 109 is also selected the organic antireflecting material for use.Then, as shown in figure 13, etch away metal level 105 exposed portions, until having exposed cover layer 104, the anti-reflecting layer 106 ' on metal level 105 surfaces also is etched away, and the metal level 105 of this moment just becomes the hard mask of etching groove.Continue etching cover layer 104 and dielectric layer 103, in dielectric layer, form groove, as shown in figure 14.Then, as shown in figure 15, remove metal level 105, and remove remaining sacrifice layer 109, as shown in figure 16.Also can utilize another metal mask to continue the barrier layer 102 of etching through hole bottom in the present embodiment, thereby expose copper conductor 101.
Figure 18 to Figure 25 is the generalized section according to the dual-damascene structure formation method of second embodiment of the invention.As shown in figure 18, in dielectric layer 200, form copper conductor 201, utilize cmp (CMP) technology dielectric layer 200 and copper conductive connecting line 201 surface rubbings.Then, utilize CVD technology on above-mentioned dielectric layer 200 and copper conductive connecting line 201 surface deposition barrier layers 202, the material on barrier layer 202 can be silicon nitride (Si3N4) or silicon oxynitride (SiON), or nitrogen silicon oxide carbide (SiOCN), and thickness is
Figure C20071004024000101
Then, at above-mentioned barrier layer 202 surface deposition thickness be
Figure C20071004024000102
Dielectric layer 203, this layer is also referred to as interlayer dielectric layer.Dielectric layer 203 is the inorganic silicon matrix layers (Inorganic silicon based layer) by the low-k of CVD (Chemical Vapor Deposition) method deposition, for example Material Used (Applied Materials) house mark be black diamond (black diamond) silicon dioxide (SiO2), contain silicon oxide carbide (SiCO) or fluorinated silica glass (FSG).Above-mentioned barrier layer 202 can prevent that the copper in the conductive connecting line 201 from spreading on the one hand in dielectric layer 203, on the other hand in the step of subsequent etching through hole as etching stopping layer.Subsequently, utilize pecvd process to deposit the cover layer 204 of layer of material for silica again on dielectric layer 203 surfaces, thickness is
Figure C20071004024000103
Be used for protecting the dielectric constant of advanced low-k materials dielectric layer 203 not to be subjected to the influence of subsequent technique.
Next utilize physical vapor deposition process at cover layer 204 surface depositions one metal level 205, its material can be aluminium, and thickness is
Figure C20071004024000104
This metal level 205 is as the hard mask of subsequent etching through hole.Utilize spin coating (spin on) technology coating anti-reflecting layer 206 on metal level 205 surfaces, in the present embodiment, owing to use metal level as hard mask, the material of anti-reflecting layer 206 also can be selected common organic antireflecting material (Organic Barc), and thickness is
Figure C20071004024000105
Then,, and utilize photoetching process, the photoresist figure 207 that for example expose, formation such as development has the via openings pattern at anti-reflecting layer 206 surface coated photoresists.Above-mentioned photoresist figure 207, anti-reflecting layer 206 and metal level 205 have constituted three-decker.
As shown in figure 19, be mask etching anti-reflecting layer 206 and metal level 205 with photoresist figure 207, until exposing cover layer 204.Utilize wet method or cineration technics to remove photoresist figure 207 and anti-reflecting layer 206 then.Subsequently, with metal level 205 is hard mask, etching cover layer 104 and dielectric layer 203, thereby in dielectric layer 203, form through hole, in etching process, metal level 205 replaces photoresist as hard mask and has prevented that the nitrogen ion in the barrier layer 202 from contacting with photoresist, thereby has prevented the generation of photoresist intoxicating phenomenon.As shown in figure 20.
Then as shown in figure 21, remove above-mentioned metal level 205, at substrate surface coating sacrifice layer 208, this sacrifice layer 208 is filled and is covered through hole, covers cover layer 204 surfaces simultaneously.Then, utilize another layer of PVD process deposits metal level 305 on sacrifice layer 208 surfaces, its material also is an aluminium, and thickness is
Figure C20071004024000111
This metal level 305 is as the hard mask of subsequent etching groove.Utilize spin coating proceeding coating anti-reflecting layer 209 on metal level 305 surfaces, in the present embodiment, owing to use metal level as hard mask, the material of anti-reflecting layer 209 is also selected common organic antireflecting material (Organic Barc), and thickness is Then,, and utilize photoetching process, the photoresist figure 210 that for example expose, formation such as development has the groove opening pattern at anti-reflecting layer 209 surface coated photoresists.Above-mentioned photoresist figure 210, anti-reflecting layer 209 and metal level 305 have constituted another three-decker.
Subsequently, as shown in figure 22, be mask etching anti-reflecting layer 209 and metal level 305 with photoresist figure 210, until exposing sacrifice layer 208.Remove above-mentioned photoresist figure 210 then.Be that mask continues etching sacrificial layer 208, cover layer 204 and dielectric layer 203 with metal level 305 then, thereby in dielectric layer 203, form groove, as shown in figure 23.In etching process, metal level 305 replaces photoresist as hard mask and has prevented that the nitrogen ion in the barrier layer 202 from contacting with photoresist, thereby has prevented the generation of photoresist intoxicating phenomenon.
In ensuing processing step, as shown in figure 24, remove remaining anti-reflecting layer 209, and remove metal level 305 and sacrifice layer 208.Also can utilize another metal mask to continue the barrier layer 202 of etching through hole bottom in the present embodiment, thereby expose copper conductor 101, as shown in figure 25.
The above only is preferred embodiment of the present invention, is not the present invention is done any pro forma restriction.Though the present invention discloses as above with preferred embodiment, yet be not in order to limit the present invention.Any those of ordinary skill in the art, do not breaking away under the technical solution of the present invention scope situation, all can utilize the method and the technology contents of above-mentioned announcement that technical solution of the present invention is made many possible changes and modification, or be revised as the equivalent embodiment of equivalent variations.Therefore, every content that does not break away from technical solution of the present invention, all still belongs in the scope of technical solution of the present invention protection any simple modification, equivalent variations and modification that above embodiment did according to technical spirit of the present invention.

Claims (8)

1, a kind of formation method of dual-damascene structure comprises:
Semiconductor substrate with dielectric layer is provided, and described dielectric layer surface has cover layer;
Form metal level in described cover surface;
The described metal level of patterning forms through hole in described dielectric layer;
In described through hole, fill sacrifice layer;
The described metal level of patterning forms groove in described dielectric layer;
Remove described sacrifice layer.
Wherein, the described metal level of patterning, the step that forms through hole in described dielectric layer comprises:
Form first mask pattern at described layer on surface of metal;
On described first mask pattern, form second mask pattern;
Through the described metal level of the described second mask pattern etching until exposing described cover layer;
Remove described second mask pattern;
With described metal level is that the described dielectric layer of mask etching forms through hole.
2, method according to claim 1 is characterized in that, the step that forms first mask pattern comprises:
Form organic antireflection layer at described layer on surface of metal;
At described organic antireflection layer surface coated photoresist layer;
The described photoresist layer of patterning;
Photoresist layer with patterning is that the described organic antireflection layer of mask etching is until exposing described metal level.
3, method according to claim 1 is characterized in that, the described metal level of patterning, and the step that forms groove in described dielectric layer comprises:
With described first mask pattern is that the metal level that exposes of mask etching is until exposing described cover layer;
Remove described first mask pattern;
With described metal level is that the described dielectric layer of mask etching forms groove.
4, method according to claim 1 is characterized in that, the step that forms described second mask pattern comprises:
At the described metal level and the first mask pattern surface coated photoresist layer;
The described photoresist layer of patterning is to form second mask pattern of definition lead to the hole site.
5, method according to claim 1 is characterized in that: described metal layer thickness is
Figure C2007100402400003C1
6, method according to claim 5 is characterized in that: the material of described metal level is an aluminium.
7, method according to claim 2 is characterized in that: the thickness of described organic antireflection layer is
Figure C2007100402400003C2
8, method according to claim 1 is characterized in that: the material of described sacrifice layer is the organic antireflecting material.
CNB2007100402404A 2007-04-24 2007-04-24 The formation method of dual-damascene structure Active CN100561706C (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
CNB2007100402404A CN100561706C (en) 2007-04-24 2007-04-24 The formation method of dual-damascene structure

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
CNB2007100402404A CN100561706C (en) 2007-04-24 2007-04-24 The formation method of dual-damascene structure

Publications (2)

Publication Number Publication Date
CN101295667A CN101295667A (en) 2008-10-29
CN100561706C true CN100561706C (en) 2009-11-18

Family

ID=40065832

Family Applications (1)

Application Number Title Priority Date Filing Date
CNB2007100402404A Active CN100561706C (en) 2007-04-24 2007-04-24 The formation method of dual-damascene structure

Country Status (1)

Country Link
CN (1) CN100561706C (en)

Families Citing this family (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN102332427A (en) * 2011-10-13 2012-01-25 上海华力微电子有限公司 Method for manufacturing first copper interconnection layer
CN103730406A (en) * 2012-10-11 2014-04-16 中芯国际集成电路制造(上海)有限公司 Method for preparing dual damascene structure
CN104752333B (en) * 2013-12-31 2018-07-03 中芯国际集成电路制造(上海)有限公司 The production method of first metal interconnecting layer
CN106847685A (en) * 2015-12-07 2017-06-13 中芯国际集成电路制造(上海)有限公司 The forming method of high-K metal gate transistor
CN112768342B (en) * 2019-11-02 2022-03-22 长鑫存储技术有限公司 Semiconductor structure and forming method thereof
CN111244033B (en) * 2020-01-14 2023-05-12 重庆京东方显示技术有限公司 Array substrate preparation method, array substrate and display device
CN112366211A (en) * 2020-11-26 2021-02-12 武汉新芯集成电路制造有限公司 Substrate for backside illuminated image sensor and method for manufacturing backside illuminated image sensor

Also Published As

Publication number Publication date
CN101295667A (en) 2008-10-29

Similar Documents

Publication Publication Date Title
CN100419995C (en) Dual-damascene process
US6184142B1 (en) Process for low k organic dielectric film etch
CN100576499C (en) The formation method of dual-damascene structure
US6331479B1 (en) Method to prevent degradation of low dielectric constant material in copper damascene interconnects
US9330974B2 (en) Through level vias and methods of formation thereof
US20080026541A1 (en) Air-gap interconnect structures with selective cap
US7545045B2 (en) Dummy via for reducing proximity effect and method of using the same
CN100561706C (en) The formation method of dual-damascene structure
CN100561729C (en) Double mosaic structure manufacture method
CN101355047B (en) Method for forming through hole in low dielectric coefficient medium layer
WO2007133472A1 (en) Structures and methods for low-k or ultra low-k interlayer dielectric pattern transfer
US7214612B2 (en) Dual damascene structure and fabrication thereof
CN101055421A (en) Method for forming double inserted structure
KR20010019643A (en) Method for manufacturing multilevel metal interconnections having low dielectric constant insulator
CN100536107C (en) Single inlay structure and dual inlay structure and their open hole forming method
US7015149B2 (en) Simplified dual damascene process
US7704820B2 (en) Fabricating method of metal line
CN101740474B (en) Method for manufacturing semiconductor device and dual-damascene structure
US7524760B2 (en) Semiconductor device and method for manufacturing the same
KR100578223B1 (en) Method of fabricating of dual damascene of semiconductor device
KR100421278B1 (en) Fabricating method for semiconductor device
KR100382615B1 (en) Method for forming via hole
KR20010066380A (en) Method for forming semiconductor device with multi-layered metal line
KR20020054641A (en) Manufacturing method for semiconductor device
KR100604418B1 (en) Method for Forming Metal Line Layers of Semiconductor Device

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant
ASS Succession or assignment of patent right

Owner name: SEMICONDUCTOR MANUFACTURING INTERNATIONAL (BEIJING

Effective date: 20111205

C41 Transfer of patent application or patent right or utility model
TR01 Transfer of patent right

Effective date of registration: 20111205

Address after: 201203 Shanghai City, Pudong New Area Zhangjiang Road No. 18

Co-patentee after: Semiconductor Manufacturing International (Beijing) Corporation

Patentee after: Semiconductor Manufacturing International (Shanghai) Corporation

Address before: 201203 Shanghai City, Pudong New Area Zhangjiang Road No. 18

Patentee before: Semiconductor Manufacturing International (Shanghai) Corporation