CN100499107C - 后端金属化结构及其制造方法 - Google Patents

后端金属化结构及其制造方法 Download PDF

Info

Publication number
CN100499107C
CN100499107C CNB2006101366218A CN200610136621A CN100499107C CN 100499107 C CN100499107 C CN 100499107C CN B2006101366218 A CNB2006101366218 A CN B2006101366218A CN 200610136621 A CN200610136621 A CN 200610136621A CN 100499107 C CN100499107 C CN 100499107C
Authority
CN
China
Prior art keywords
dielectric layer
interlevel dielectric
insulating material
interlevel
layer
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
CNB2006101366218A
Other languages
English (en)
Other versions
CN1959976A (zh
Inventor
杰克·A·曼德尔曼
许履尘
杨智超
威廉·汤蒂
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
International Business Machines Corp
Original Assignee
International Business Machines Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by International Business Machines Corp filed Critical International Business Machines Corp
Publication of CN1959976A publication Critical patent/CN1959976A/zh
Application granted granted Critical
Publication of CN100499107C publication Critical patent/CN100499107C/zh
Expired - Fee Related legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/5329Insulating materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/7682Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing the dielectric comprising air gaps
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • H01L21/76849Barrier, adhesion or liner layers formed in openings in a dielectric the layer being positioned on top of the main fill metal
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/5222Capacitive arrangements or effects of, or between wiring layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/5329Insulating materials
    • H01L23/53295Stacked insulating layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/0002Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S438/00Semiconductor device manufacturing: process
    • Y10S438/942Masking

Abstract

本发明公开了同时包含高k和低k电介质区域的制造方法及后端(BEOL)金属化结构。互连结构包含第一层间电介质(ILD)层和第二ILD层,该第一ILD层位于第二ILD层下方。多个柱状空气间隙形成于第一ILD层内。由双相光致抗蚀剂材料产生该柱状空气间隙结构,其中该双相光致抗蚀剂材料用于提供在后续工艺中的不同蚀刻选择性。

Description

后端金属化结构及其制造方法
技术领域
本发明通常涉及制造半导体器件的领域,更具体而言,涉及用于包括同时选择性形成高介电常数(高k)和低k介电区的半导体器件的后端(BEOL)金属化结构和制造方法。
背景技术
为了减小由于互连线之间寄生电容引起的BEOL互连电路延迟,形成层间电介质(ILD)和间隙填充的具有介电常数值k约4.0的传统二氧化硅电介质已经被介电常数值k约3.0的致密的低k膜替代。为了进一步改善先进器件的性能,需要额外的电介质电容减小,例如介电常数值k小于2.5。
另一方面,对于需要高电容的应用例如电荷存储以及解耦,介电常数值k大于7的高k材料是优选的。
从大量制造和低成本的观点,需要一种用于制造同时包含低k和高k的互连的单BEOL集成方案。
电容减小可以采用新的多孔低k电介质实现,然而,大部分多孔材料和致密电介质相比具有相对弱的机械性能。对于当前的BEOL工艺而言,将这些材料与其他模块工艺集成也是一个重大的挑战。
例如,传统的抛光工艺例如传统上用于金属镶嵌金属化工艺的化学机械抛光(CMP),难以抛光低机械强度的多孔电介质。此外,传统的物理气相沉积(PVD)扩散阻挡沉积技术不能提供对多孔电介质表面的适当的覆盖。
已经提出了各种技术,以利用等离子体辅助蚀刻工艺例如利用反应离子蚀刻(RIE)工艺形成空气间隙。
需要一种用于实施用于同时包含高介电常数(高k)和低k介电材料的半导体器件的BEOL金属化结构的有效机制。
发明内容
本发明的主要方面是提供用于同时包含高k和低k介电材料的半导体器件的方法和后端(BEOL)金属化结构。本发明的其他重要方面是提供基本上没有负效应并克服了现有技术设置的许多缺点的用于同时包含高k和低k介电材料的半导体器件的这种方法和后端(BEOL)金属化结构。
简而言之,为同时包含高k和低k介电材料的半导体器件提供了制造方法及后端(BEOL)金属化结构。互连结构包含第一层间电介质(ILD)层和第二ILD层,其中该第一ILD层位于第二ILD层下。多列空气间隙形成于第一ILD内。
根据本发明的特征,使用双相光致抗蚀剂材料以在后续工艺例如后续的反应离子蚀刻(RIE)工艺其间提供不同的蚀刻选择性,由此形成柱状空气间隙结构。为了增强蚀刻选择性,该双相光致抗蚀剂材料的一个相在RIE工艺之前被除去。该双相光致抗蚀剂材料包含例如两种不同的聚合物或者聚合物与氧化硅的组合。
根据本发明的特征,选择性盖构造被用于产生局部形貌,并产生包含金属盖表面和介电硬掩模(HM)表面的两种不同表面。例如介电硬掩模(HM)层的材料延伸到第一ILD层上,互连导体的暴露表面被选择性地覆盖金属层。该双相光致抗蚀剂材料沉积在该介电HM层和金属盖的表面上。双相的被分离的光致抗蚀剂材料图案被转移到第一ILD层以产生该柱状空气间隙结构。第二绝缘体沉积在该图案化的晶片表面上。
根据本发明的特征,所沉积的第二绝缘体材料可选地填充第一ILD层内的柱状空气间隙以用于需要高电容的应用。对于其他高速应用,所沉积的第二绝缘体材料并不填充该柱状空气间隙结构,在最后的互连结构中在ILD层内留下空气间隙。
附图说明
通过图中所示本发明优选实施方案的下述详细描述,可以最佳地理解本发明以及上述与其他目标和优点,附图中:
图1、2、3A、3B、4和5示出了根据优选实施方案的用于制造互连结构的示范性工艺步骤;
图6和7为示出了根据优选实施方案的备选示范性互连结构的未按比例绘制的示意性侧视图;
图8A和8B示出了根据优选实施方案的示范性相分离特征;以及
图9A、9B、9C以及10A、10B、10C示出了根据优选实施方案制造的示范性互连结构。
具体实施方式
根据优选实施方案的特征,为高k及低k互连应用的现代半导体器件提供了电容调整方法。该电容调整方法可快速容易地与目前BEOL工艺集成。并不需要特殊材料或新材料。空气间隙结构或高k材料可以被嵌入到原始的层间电介质材料内部。该方法与当前BEOL工艺兼容,且不需要新的模块开发以用于优化蚀刻分布、改善阻挡覆盖度或者处理CMP工艺。
根据优选实施方案的特征,提供了包含位于介电材料内部的空气间隙的互连结构,用于整体BEOL电容减小。还提供了包含嵌在原始电介质内的高k材料的互连结构,用于整体BEOL电容增大。提供了形成低k的BEOL互连结构的方法。
现在参考附图,在图1、2、3A、4、5、6和7中,示出了根据优选实施方案的用于制造互连结构的示范性工艺步骤。
在图1中,根据优选实施方案,通常用参考符号100表示的第一工艺步骤开始于选择性盖构造。在第一工艺步骤100中,选择性盖构造被用于产生局部形貌,并产生包含金属盖表面和介电硬掩模(HM)表面的两种不同表面。
如图所示,用于第一工艺步骤100的初始结构包含位于第一层间电介质(ILD)层104和多个导体108下的衬底层102。界面材料110或介电硬掩模(HM)层110设于被暴露的第一ILD层104上。延伸穿透介电HM层110的各个互连导体108的暴露表面被选择性地覆盖了金属层或金属盖112。
第一ILD层104为低k材料,优选地具有介于50nm与1000nm的厚度。例如,第一ILD层104为选自由例如SiOF(FSG)、SiCOH、HSQ(硅倍半氧烷化氢聚合物)以及MSQ(硅倍半氧烷甲烷聚合物)的含硅材料或者例如聚对二甲苯、BCB、聚亚苯基低聚物、碳氟化合物的有机物组成的组的材料及其组合。
导体108由任何合适的导电材料例如Cu、Al、Al(Cu)和W形成。该HM层110例如提供亲水表面用于随后的局部选择性相分离。该HM层110的厚度介于2nm与80nm之间。该HM层110可以为导体、绝缘体或半导体。
例如,铜导体108的暴露表面被选择性地覆盖了由CoWP形成的盖层112,该盖层同时作为钝化以及Cu扩散阻挡层。优选该CoWP层112的厚度介于5nm与30nm之间。除了CoWP之外,其他材料例如CoSnP、CoP、CoB、CoSnB、CoWB、Pd和Ru也是用于形成盖层112的良好侯选。优选地,形成盖层112的材料具有疏水表面,以用于与由介电HM层110提供的亲水表面进行随后的随机相形成。
参考图2,在通常用参考符号200表示的下一个工艺步骤中,双相光致抗蚀剂202沉积于晶片表面上。光致抗蚀剂层202优选地具有介于20nm与500nm之间的厚度。该双相光致抗蚀剂202可由例如两种不同的聚合物形成,或者可由聚合物与氧化硅的组合形成。
参考图3A和3B,在通常用参考符号300表示的下一个工艺步骤中,执行该双相光致抗蚀剂材料202的相分离。该双相光致抗蚀剂材料202的相分离仅局部地发生于介电HM层110顶部上通常用参考符号302表示的区域内,形成相A304和相B306。图3B为示出了由相分离步骤300形成的相分离区域302引起的相A、B的局部详细视图。
两种不同的相材料具有不同的蚀刻选择性,例如,相A304具有高于相B306的蚀刻抗性。该相分离仅局部地发生于介电HM层110顶部上。已有晶片或不同界面性能上、双相光致抗蚀剂202和HM层110之间、以及双相光致抗蚀剂202和金属盖层112之间的形貌特征有利于触发这种局部相分离。
为了获得改进的后续蚀刻选择性,相B306可选地在下一个工艺之前从晶片表面被除去。该除去步骤可以通过湿法、等离子体、或其他化学相关工艺实现。
参考图4,通常用参考符号400表示的下一个工艺步骤通过RIE工艺提供了从光致抗蚀剂相分离区域302到下方的第一ILD层104的图案转移。图示出了结果的柱状空气间隙结构402。
应该理解,上述抗蚀剂沉积、相分离、以及RIE工艺可选地可以沿不同取向被重复以产生具有空气间隙网络的结构,从而进一步减小最后的介电电容。
参考图5,在通常用参考符号500表示的下一个工艺步骤中,填充绝缘体材料502随后沉积在晶片表面上用于进一步的工艺。该沉积技术可以是化学气相沉积(CVD)、原子层沉积(ALD)、或者旋涂相关的工艺。优选地,该第二绝缘体502为高k材料。填充绝缘体材料502由例如高k材料、金属氧化物、Ta2O5、BaTiO3、HfO2、ZrO2、Al2O3,金属硅化物、HfSixOy、HfSixOyNz及其组合形成。填充绝缘体材料502例如选自二氧化硅、氮化硅、碳化硅、氮氧化硅、碳氧化硅、掺氢硅玻璃以及等离子体增强氮化硅的材料形成。如图5所示,所沉积的填充绝缘体材料502填充了先前形成的包含ILD 104的柱状空气间隙结构402内部的空气间隙。
优选地,在沉积该填充绝缘体材料502之前,沉积和图案化一阻挡层。该阻挡层的目的是选择性地保护旨在保持不被填充的那些空气间隙区域402,同时提供了如前所述允许填充绝缘材料502填充空气间隙402的开口。阻挡层材料可包含氮化硅或其他合适的材料,该材料被沉积使得对应于柱状空气间隙的开口被密封。如前所述的使用第二绝缘体材料502填充该暴露的空气间隙402之后,该阻挡层可通过选择性蚀刻被除去。可选地,阻挡层的除去可以被掩模覆盖,以提供保留阻挡层的区域。因此,在这个工艺阶段,ILD 104的选择性区域已经被转换成高k电介质,而该表面的其余部分包含敞开的空气间隙402。
图6示出了在沉积该填充绝缘体材料502以及从晶片表面除去该高k绝缘体材料502之后,通常用参考符号600表示的下一个工艺步骤。在步骤600,第二绝缘体材料602随后沉积在晶片表面上用于进一步的工艺。如图6所示,互连导体604嵌入在第二绝缘体材料602内。该沉积技术可以是CVD、ALD、或旋涂相关的工艺。优选地,第二绝缘体602为形成第二ILD层的低k材料。该第二ILD层602由低k材料形成,例如选自由SiOF(FSG)、SiCOH、HSQ(硅倍半氧烷化氢聚合物)以及MSQ(硅倍半氧烷甲烷聚合物)的含硅材料或者例如聚对二甲苯、BCB、聚亚苯基低聚物、碳氟化合物的有机物组成的组的材料及其组合。图6示出了用于电容器或需要高电容的器件的优选最终结构。
参考图7,该图示出了在图4的步骤400之后,通常用参考符号700表示的备选的下一个工艺步骤。在工艺步骤700中,第二绝缘体材料702随后沉积在晶片表面上用于进一步的工艺。如图7所示,互连导体704嵌入在第二绝缘体材料702内。图7示出了用于高速器件应用的优选最终结构。该沉积技术可以是CVD、ALD、或旋涂相关的工艺。优选地,第二绝缘体702为形成第二ILD层的低k材料。该第二ILD层702由低k材料形成,例如选自由SiOF(FSG)、SiCOH、HSQ(硅倍半氧烷化氢聚合物)以及MSQ(硅倍半氧烷甲烷聚合物)的含硅材料或者例如聚对二甲苯、BCB、聚亚苯基低聚物、碳氟化合物的有机物组成的组的材料及其组合。如图7所示,所沉积的第二绝缘体702并不填充该柱状空气间隙结构402内部的空气间隙,在最终结构中留下空气间隙,且第二绝缘体材料702密封对应于空气间隙402的开口。
根据优选实施方案的特征,本发明和现有技术相比的优点在于,与当前Cu双金属镶嵌工艺相兼容地形成了空气间隙结构,而无需额外的临界掩模。当低k和高k区域都形成于同一BEOL内时,只需一个非临界掩模。现有技术需要额外的临界掩模。本发明产生的工艺成本低于现有技术。
另外参考图8A和8B,示出了通常用参考符号800表示的示范性结构,其示出了根据优选实施方案制造的其他示范性相分离特征802。
现在参考图9A、9B、9C以及10A、10B、10C,分别示出了根据优选实施方案制造的通常用参考符号900和1000表示的示范性互连结构。
尽管已经参考附图所示本发明实施方案的细节描述了本发明,这些细节不是用于限制本发明的范围,本发明的范围由权利要求界定。

Claims (17)

1.一种用于制造同时包含高k和低k介电材料的半导体器件的后端金属化结构,包含:
互连结构;
所述互连结构包含第一层间电介质层和第二层间电介质层;
所述第一层间电介质层位于所述第二层间电介质层下方;以及
形成于所述第一层间电介质层内的多个柱状空气间隙。
2.权利要求1所述的后端金属化结构,其中所述第一层间电介质层选择性地形成具有低k介电性能区域和高k介电性能区域。
3.权利要求1所述的后端金属化结构,其中所述第一层间电介质层和所述第二层间电介质层包含选自由SiOF、SiCOH、硅倍半氧烷化氢聚合物、硅倍半氧烷甲烷聚合物、聚对二甲苯、BCB、聚亚苯基低聚物、以及碳氟化合物组成的组的材料或其组合。
4.权利要求2所述的后端金属化结构,其中所述绝缘体材料包含选自由高k材料、金属氧化物、Ta2O5、BaTiO3、HfO2、ZrO2、Al2O3、金属硅化物、HfSixOy和HfSixOyNz的材料及其组合。
5.权利要求2所述的后端金属化结构,其中所述绝缘体材料包含选自由二氧化硅、氮化硅、碳化硅、氮氧化硅、碳氧化硅、掺氢硅玻璃以及等离子体增强氮化硅组成的组的材料。
6.权利要求1所述的后端金属化结构,其中所述第一层间电介质层的选定厚度范围介于50nm与1000nm之间。
7.一种用于制造同时包含高k和低k介电材料的半导体器件的后端金属化结构,包含:
互连结构;
所述互连结构包含第一层间电介质层和第二层间电介质层;
所述第一层间电介质层位于所述第二层间电介质层下方;以及
形成于所述第一层间电介质层内的多个柱状间隙,所述多个柱状间隙被填充以绝缘体材料。
8.权利要求7所述的后端金属化结构,其中所述绝缘体材料包含介电常数比形成所述第一层间电介质层的材料的介电常数高的介电材料。
9.权利要求7所述的后端金属化结构,其中所述绝缘体材料包含介电常数比形成所述第二层间电介质层的材料的介电常数高的介电材料。
10.一种制造后端互连结构的方法,包含的步骤为:
提供第一层间电介质层,所述第一层间电介质层位于介电硬掩模层下方;以及互连导体,延伸穿过所述介电硬掩模层进入所述第一层间电介质层;
提供选择性盖构造,从而在所述互连导体以及介电硬掩模表面上产生金属盖表面;
将双相光致抗蚀剂材料沉积在所述介电硬掩模表面和所述金属盖表面上方;
将双相分离的光致抗蚀剂材料图案转移到该第一层间电介质层以产生柱状空气间隙结构;以及
沉积绝缘体材料用于形成第二层间电介质层。
11.权利要求10所述的制造后端互连结构的方法,其中形成所述柱状空气间隙结构包含后端双金属镶嵌工艺而无需任何额外的临界掩模。
12.权利要求10所述的制造后端互连结构的方法,其中所述沉积的双相光致抗蚀剂材料提供了对于反应离子蚀刻工艺具有不同的蚀刻选择性。
13.权利要求10所述的制造后端互连结构的方法,还包含,沉积填充绝缘体材料以填充该第一层间电介质层内的所述柱状空气间隙结构,在沉积用于形成所述第二层间电介质层的绝缘体材料之前,沉积所述填充绝缘体材料。
14.权利要求10所述的制造后端互连结构的方法,其中,所述沉积的第二绝缘体材料并不填充所述柱状空气间隙结构,在所述第一层间电介质层内留下空气间隙。
15.权利要求10所述的制造后端互连结构的方法,其中所述双相光致抗蚀剂材料包含两种不同的聚合物。
16.权利要求10所述的制造后端互连结构的方法,其中所述双相光致抗蚀剂材料包含聚合物和氧化硅的组合。
17.权利要求10所述的制造后端互连结构的方法,其中所述第二层间电介质层由从化学气相沉积、原子层沉积和旋涂中选择的一种方法形成。
CNB2006101366218A 2005-11-03 2006-10-31 后端金属化结构及其制造方法 Expired - Fee Related CN100499107C (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US11/266,741 2005-11-03
US11/266,741 US7348280B2 (en) 2005-11-03 2005-11-03 Method for fabricating and BEOL interconnect structures with simultaneous formation of high-k and low-k dielectric regions

Publications (2)

Publication Number Publication Date
CN1959976A CN1959976A (zh) 2007-05-09
CN100499107C true CN100499107C (zh) 2009-06-10

Family

ID=37995203

Family Applications (1)

Application Number Title Priority Date Filing Date
CNB2006101366218A Expired - Fee Related CN100499107C (zh) 2005-11-03 2006-10-31 后端金属化结构及其制造方法

Country Status (2)

Country Link
US (2) US7348280B2 (zh)
CN (1) CN100499107C (zh)

Families Citing this family (34)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI285938B (en) * 2003-08-28 2007-08-21 Fujitsu Ltd Semiconductor device
US20060103023A1 (en) * 2004-11-12 2006-05-18 International Business Machines Corporation Methods for incorporating high k dielectric materials for enhanced SRAM operation and structures produced thereby
US7485540B2 (en) * 2005-08-18 2009-02-03 International Business Machines Corporation Integrated BEOL thin film resistor
US7402883B2 (en) * 2006-04-25 2008-07-22 International Business Machines Corporation, Inc. Back end of the line structures with liner and noble metal layer
US7605073B2 (en) * 2006-05-19 2009-10-20 Intel Corporation Sealants for metal interconnect protection in microelectronic devices having air gap interconnect structures
US7977228B2 (en) * 2006-06-29 2011-07-12 Intel Corporation Methods for the formation of interconnects separated by air gaps
US7396757B2 (en) * 2006-07-11 2008-07-08 International Business Machines Corporation Interconnect structure with dielectric air gaps
KR100735482B1 (ko) * 2006-08-29 2007-07-03 동부일렉트로닉스 주식회사 반도체 소자 및 그 제조방법
KR100829603B1 (ko) * 2006-11-23 2008-05-14 삼성전자주식회사 에어 갭을 갖는 반도체 소자의 제조 방법
US7754510B2 (en) * 2007-04-02 2010-07-13 Xerox Corporation Phase-separated dielectric structure fabrication process
US7795614B2 (en) * 2007-04-02 2010-09-14 Xerox Corporation Device with phase-separated dielectric structure
DE102007035837A1 (de) * 2007-07-31 2009-02-05 Advanced Micro Devices, Inc., Sunnyvale Halbleiterbauelement mit einer Kornorientierungsschicht
JP2009094378A (ja) * 2007-10-11 2009-04-30 Panasonic Corp 半導体装置及びその製造方法
DE102008059650B4 (de) * 2008-11-28 2018-06-21 Globalfoundries Inc. Verfahren zur Herstellung einer Mikrostruktur mit einer Metallisierungsstruktur mit selbstjustierten Luftspalten zwischen dichtliegenden Metallleitungen
US7745324B1 (en) 2009-01-09 2010-06-29 International Business Machines Corporation Interconnect with recessed dielectric adjacent a noble metal cap
US8227336B2 (en) * 2009-01-20 2012-07-24 International Business Machines Corporation Structure with self aligned resist layer on an interconnect surface and method of making same
CN103839917B (zh) 2012-11-27 2017-08-25 中芯国际集成电路制造(上海)有限公司 Mim电容及其形成方法
US20150206798A1 (en) * 2014-01-17 2015-07-23 Taiwan Semiconductor Manufacturing Company, Ltd. Interconnect Structure And Method of Forming
US9583380B2 (en) 2014-07-17 2017-02-28 Globalfoundries Inc. Anisotropic material damage process for etching low-K dielectric materials
US9548333B2 (en) * 2014-09-25 2017-01-17 Qualcomm Incorporated MRAM integration with low-K inter-metal dielectric for reduced parasitic capacitance
US9443956B2 (en) 2014-12-08 2016-09-13 Globalfoundries Inc. Method for forming air gap structure using carbon-containing spacer
US10008382B2 (en) 2015-07-30 2018-06-26 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device having a porous low-k structure
US9768058B2 (en) 2015-08-10 2017-09-19 Globalfoundries Inc. Methods of forming air gaps in metallization layers on integrated circuit products
US9449871B1 (en) * 2015-11-18 2016-09-20 International Business Machines Corporation Hybrid airgap structure with oxide liner
WO2017111854A1 (en) * 2015-12-24 2017-06-29 Intel Corporation Methods of forming low capacitance interconnect structures utilizing low dielectric materials
CN105679742B (zh) 2016-03-17 2019-02-15 中国科学院微电子研究所 金属化叠层及包括其的半导体器件和电子设备
US9892961B1 (en) 2016-08-09 2018-02-13 International Business Machines Corporation Air gap spacer formation for nano-scale semiconductor devices
US10170439B1 (en) 2017-09-29 2019-01-01 Globalfoundries Inc. Chamfering for stress reduction on passivation layer
US10290739B2 (en) * 2017-09-29 2019-05-14 Taiwan Semiconductor Manufacturing Co., Ltd. Device and method of dielectric layer
US10396042B2 (en) 2017-11-07 2019-08-27 International Business Machines Corporation Dielectric crack stop for advanced interconnects
US11823909B2 (en) 2018-01-16 2023-11-21 Lam Research Corporation Selective processing with etch residue-based inhibitors
US10395986B1 (en) * 2018-05-30 2019-08-27 International Business Machines Corporation Fully aligned via employing selective metal deposition
US10910307B2 (en) * 2018-11-02 2021-02-02 International Business Machines Corporation Back end of line metallization structure
CN110112095A (zh) * 2019-04-25 2019-08-09 中国科学院上海微系统与信息技术研究所 一种集成结构的制备方法以及由此得到的铜互连线与介质层集成结构

Family Cites Families (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5949143A (en) * 1998-01-22 1999-09-07 Advanced Micro Devices, Inc. Semiconductor interconnect structure with air gap for reducing intralayer capacitance in metal layers in damascene metalization process
US6104077A (en) * 1998-04-14 2000-08-15 Advanced Micro Devices, Inc. Semiconductor device having gate electrode with a sidewall air gap
US6440839B1 (en) * 1999-08-18 2002-08-27 Advanced Micro Devices, Inc. Selective air gap insulation
US6329279B1 (en) * 2000-03-20 2001-12-11 United Microelectronics Corp. Method of fabricating metal interconnect structure having outer air spacer
TW476135B (en) * 2001-01-09 2002-02-11 United Microelectronics Corp Manufacture of semiconductor with air gap
US6903001B2 (en) * 2002-07-18 2005-06-07 Micron Technology Inc. Techniques to create low K ILD for BEOL
US7018918B2 (en) * 2002-11-21 2006-03-28 Intel Corporation Method of forming a selectively converted inter-layer dielectric using a porogen material
US7361991B2 (en) * 2003-09-19 2008-04-22 International Business Machines Corporation Closed air gap interconnect structure
US7268432B2 (en) * 2003-10-10 2007-09-11 International Business Machines Corporation Interconnect structures with engineered dielectrics with nanocolumnar porosity
US20060197228A1 (en) * 2005-03-04 2006-09-07 International Business Machines Corporation Single mask process for variable thickness dual damascene structures, other grey-masking processes, and structures made using grey-masking
US7396757B2 (en) * 2006-07-11 2008-07-08 International Business Machines Corporation Interconnect structure with dielectric air gaps

Also Published As

Publication number Publication date
US20080079172A1 (en) 2008-04-03
US20070096319A1 (en) 2007-05-03
US7768130B2 (en) 2010-08-03
US7348280B2 (en) 2008-03-25
CN1959976A (zh) 2007-05-09

Similar Documents

Publication Publication Date Title
CN100499107C (zh) 后端金属化结构及其制造方法
US11088020B2 (en) Structure and formation method of interconnection structure of semiconductor device
JP4328501B2 (ja) 半導体素子及びその製造方法
TWI579998B (zh) 半導體裝置與其形成方法
US10373905B2 (en) Integrating metal-insulator-metal capacitors with air gap process flow
US7560375B2 (en) Gas dielectric structure forming methods
KR100389174B1 (ko) 금속 캐패시터 및 이의 형성 방법
US8994146B2 (en) Metal-insulator-metal (MIM) capacitor within topmost thick inter-metal dielectric layers
US10181421B1 (en) Liner recess for fully aligned via
US9613880B2 (en) Semiconductor structure and fabrication method thereof
US7488643B2 (en) MIM capacitor and method of making same
US5960316A (en) Method to fabricate unlanded vias with a low dielectric constant material as an intraline dielectric
US10224239B2 (en) Multi-level air gap formation in dual-damascene structure
US7586142B2 (en) Semiconductor device having metal-insulator-metal capacitor and method of fabricating the same
US6548901B1 (en) Cu/low-k BEOL with nonconcurrent hybrid dielectric interface
US11114338B2 (en) Fully aligned via in ground rule region
CN109804463B (zh) 用于形成双镶嵌互连结构的方法
US10763160B1 (en) Semiconductor device with selective insulator for improved capacitance
US11437312B2 (en) High performance metal insulator metal capacitor
US11942424B2 (en) Via patterning for integrated circuits

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant
C17 Cessation of patent right
CF01 Termination of patent right due to non-payment of annual fee

Granted publication date: 20090610

Termination date: 20091130