CN100470740C - Method for manufacturing film transistor and electronic device - Google Patents

Method for manufacturing film transistor and electronic device Download PDF

Info

Publication number
CN100470740C
CN100470740C CNB2006101011899A CN200610101189A CN100470740C CN 100470740 C CN100470740 C CN 100470740C CN B2006101011899 A CNB2006101011899 A CN B2006101011899A CN 200610101189 A CN200610101189 A CN 200610101189A CN 100470740 C CN100470740 C CN 100470740C
Authority
CN
China
Prior art keywords
room
laser
substrate
film
chamber
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
CNB2006101011899A
Other languages
Chinese (zh)
Other versions
CN1881550A (en
Inventor
山崎舜平
武内晃
竹村保彦
岛田浩行
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Semiconductor Energy Laboratory Co Ltd
Original Assignee
Semiconductor Energy Laboratory Co Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from JP30117293A external-priority patent/JP3165304B2/en
Application filed by Semiconductor Energy Laboratory Co Ltd filed Critical Semiconductor Energy Laboratory Co Ltd
Publication of CN1881550A publication Critical patent/CN1881550A/en
Application granted granted Critical
Publication of CN100470740C publication Critical patent/CN100470740C/en
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Images

Landscapes

  • Recrystallisation Techniques (AREA)
  • Thin Film Transistor (AREA)

Abstract

A multi-chamber system for providing a process of a high degree of cleanliness in fabricating semiconductor devices such as semiconductor integrated circuits. The system comprises a plurality of vacuum apparatus (e.g., a film formation apparatus, an etching apparatus, a thermal processing apparatus, and a preliminary chamber) for fabrication of semiconductor devices. At least one of these vacuum apparatuses is a laser.

Description

A kind of method of making thin-film transistor and electronic device
The application is to be that July 2, application number in 1994 are 98106064.1 and denomination of invention dividing an application for the application of " a kind of method of making thin-film transistor and electronic device " applying date.
Technical field
The present invention relates to make the method and apparatus of semiconductor device such as various transistor and integrated circuit.
Background technology
In recent years, the method and apparatus that adopts laser to make semiconductor device gets and develops.The example of these method and apparatus comprises laser ablation or laser grooving and scribing, with this film is carried out etching and needle drawing, laser annealing, change film or its surperficial crystalline state with this with laser irradiation, laser doping is advanced film or its surface to diffusion of impurities with laser radiation with this in impure environment.
Use in the traditional semiconductor fabrication method of laser at these, at first the substrate of being handled by other film forming device or etching apparatus is positioned over position within the laser processing apparatus.Be evacuated and heated substrate in this equipment, use the laser irradiation treatment substrate then.Therefore, productivity ratio is very low.
Summary of the invention
The manufacture method that the purpose of this invention is to provide the semiconductor device that a kind of productivity ratio is improved.
Another object of the present invention provides a kind of manufacturing equipment that can improve the semiconductor device of productivity ratio.
Equipment according to the present invention comprises a multi-chamber system, its composition is film forming device (as plasma CVD equipment, sputtering equipment, hot CVD equipment or a vacuum evaporation apparatus), etching apparatus, implantation equipment (as plasma doping equipment or ion implantation device), Equipment for Heating Processing (as thermal diffusion equipment or thermal crystallisation equipment), vacuum equipment such as preparation room, and laser processing apparatus (as laser ablation equipment, laser matting equipment or laser doping equipment).New equipment is finished processing, in this system, can be finished vacuumizing in the very short time in that each substrate is exposed under the condition of atmosphere.Can avoid when transmitting substrate in addition polluting
And the various method for annealing that also can use infrared radiation rather than laser irradiation for example heat the amorphous silicon film that is formed on the glass substrate, make its crystallization with illuminated with infrared radiation then.As a result, can further improve degree of crystallinity.Silicon thin film is easier to absorb infrared radiation than glass substrate.Silicon thin film is heated and can not make glass substrate heating what.This is favourable.And, can think that the effect that is obtained equals to carry out more than 1000 ℃ the effect that thermal annealing produced.
Adopt the annealing of this illuminated with infrared radiation in a few minutes, to finish.Therefore, this is called as rapid thermal annealing (RTA).This annealing is carried out after can forming dielectric film on semiconductor layer expediently.In this situation, can reduce the interface energy level between semiconductor layer and the dielectric film, so that can improve interfacial characteristics.For example, being formed for the active layer of isolated-gate field effect transistor (IGFET) (having formed the channel shape stratification) afterwards, become the silicon oxide film of gate insulating film at this.Then carry out quick thermal annealing process.In this way, can improve between raceway groove and the gate insulating film at the interface and characteristic on every side, this is important factor to isolated-gate field effect transistor (IGFET).
Semiconductor processing system according to another main points of the present invention comprises:
At least one treatment facility is used for radiation laser or its intensity other light (as infrared light) identical with laser;
At least one adopts the vacuum diaphragm forming device (chamber of vacuum-pumping, for example plasma CVD equipment, low pressure chemical vapor deposition (LPCVD) equipment, atmospheric pressure CVD (APCVD) equipment, sputtered film forming device (sputtering equipment) etc.) of vapour deposition.
Wherein, at the treatment facility that is used for irradiating laser or its intensity other light identical with laser, laser or strong illumination at the non-single crystal semiconductor film that is formed on the substrate, for example amorphous semiconductor film, polycrystal semiconductor film, microcrystalline semiconductor film.
Wherein, described substrate is sent to the chamber of another vacuum-pumping from the described treatment facility that is used for irradiating laser or its intensity other light identical with laser, and is not exposed to extraneous air, and finish predetermined processing;
At oxidizing atmosphere such as oxygen atmosphere or in nitrogenize (nitriding) atmosphere such as ammonia atmosphere, carry out the irradiation of described laser or its strong chamber other light identical with laser, improve non-monocrystalline silicon semiconductor film crystallization property thus, carry out its surperficial oxidation or form oxide-film (in the situation of oxidizing atmosphere) from the teeth outwards or carry out its surperficial nitrogenize or form nitride film (in the situation of nitriding atmosphere) from the teeth outwards.This semiconductor processing system has the device of the chamber that easily vacuumizes that workpiece is transmitted from the optical processing chamber, and does not make workpiece be exposed to air, and vice versa.
The treatment facility that is used for irradiating laser or its intensity other light identical with laser must have the function of irradiating laser or its intensity other light identical with laser, has the device of introducing desired gas, and has the exhaust apparatus that reduces air pressure.Excimer laser laser, various YAG laser, red chamber stone laser etc. can be used as laser.As incoherent light source rather than laser, also can use rare gas light such as xenon lamp.Nitrogen lamp or Halogen lamp LED etc.Wide wavelength range from the infrared light to the ultraviolet light can be used as optical source wavelength.In order to prevent that substrate temperature from raising, and preferably carries out rayed with pulse mode.The pulse duration of expectation is below the 1 μ sec.
As the vacuum diaphragm forming device, plasma CVD equipment, low pressure chemical vapor deposition (LPCVD) equipment, atmospheric pressure CVD (APCVD) equipment or sputtered film forming device (sputtering equipment) all can use.
Except the said equipment as vacuum treatment device, the equipment of also can connect the heat treatment apparatus (thermal chamber) that is filled with various atmosphere, ion implantation device, etching chamber, substrate being sent into and sent.Preferably each in these equipment has gas drawing-in system and the gas discharge system that is used for each desired gas.Preferably these equipment link to each other with a special public transfer chamber that transmits substrate.
Transmit substrate and do not make it be exposed to extraneous air, thereby make processed object-workpiece (for example on-chip silicon fiml) not contaminated at each procedure.
The method according to this invention comprises:
In nitriding atmosphere or oxidizing atmosphere,, make the surfaces nitrided or oxidation of non-single crystal semiconductor thus, improve the crystallization property of described non-single crystal semiconductor film non-single crystal semiconductor film irradiating laser or its intensity other light identical with laser; And
Deposit dielectric film on oxide-film or nitride film.
Adopt the amorphous silicon film of plasma CVD method or the deposit of low pressure hot CVD method, can be used as non-single crystal semiconductor film.By amorphous semiconductor film is being annealed below 650 ℃, the polycrystalline or the microcrystalline semiconductor film of crystallization are available.In order on non-single crystal semiconductor film, to form nitride film, oxide-film or nitrogen oxidation film, and in order to improve the crystallization property of non-single crystal semiconductor film, irradiating laser or its intensity other light identical with laser in nitrogenize or oxidizing atmosphere.Oxidizing atmosphere is to comprise the atmosphere of fully carrying out oxidation.This is to comprise nitrous oxide (N 2O) and the atmosphere of nitrogen dioxide etc., perhaps these gases atmosphere of mixing with oxygen etc.Therefore, this atmosphere is different from and simply comprises the atmosphere of these gases in a small amount.Can comprise chlorine, trichloroethylene, (trichloroethylene, TCE, CHCL=CCL in this atmosphere 2), anti-(formula)-1,2-dichloroethene (CHCL=CHCL).But this gas accelerated oxidation effect.
Silicon fiml irradiating laser or its intensity other light identical with laser to having crystallization property can form silicon oxide film on silicon face.Particularly when irradiating ultraviolet light, can strengthen chemical reaction and can use good silicon oxide film
After the irradiating laser high light, form dielectric film such as silica by adopting sedimentation such as plasma CVD method etc., can on the oxide-film or nitride film that are formed on the semiconductor surface, form dielectric film with desired thickness with good interface characteristic.
By in nitrogenize or oxidizing atmosphere,, can on semiconductor surface, form nitride film or oxide-film with good interface to semiconductor particularly Si semiconductor irradiating laser or its intensity other light identical with laser.Particularly use silicon as semiconductor, and to on-monocrystalline silicon fiml irradiating laser or its intensity other light identical with laser, can be on the non-single crystal semiconductor film surface, formation has silicon nitride film, silicon oxide film or the silicon oxynitride film of good interface attitude, and can improve the crystallization property of on-monocrystalline electrically conductive film.Particularly, the silicon oxide film of Xing Chenging has little static behaviour and low interface state density (Qss) in this way, and this silicon oxide film with the common thermal oxidation gained in dried oxygen, under 1000 to 1200 ℃ is identical.Therefore, this silicon oxide film suits as the gate insulating film of insulated-gate type device such as TFT.
By on above-mentioned oxide-film, forming dielectric film such as silicon oxide film, can on semiconductor film, form gate insulating film with adequate thickness and good interface characteristic gate insulating film as TFT.That is in the present invention, gate insulating film comprises two-layer at least, and the thin oxide film that contacts with semiconductor is by irradiations such as laser and the semiconductor of oxidation constitutes.Thereby the interfacial characteristics of gate insulating film is good.Yet, consider from aspects such as dielectric strengths, for only this is not enough as gate insulating film.Preferably gate insulating film prepares like this, adopts vapor phase growth method on thin oxide film, forms the dielectric film with desired thickness.
This type of depositing technics preferably carries out in determining the environment of separating with air all.After treatment with irradiation such as laser, if in a single day semiconductor surface is exposed to air, then the surface can be contaminated, can be damaged by good oxide-film that irradiations such as laser obtained etc.Therefore, during forming dielectric film,, need be used between these equipment, transmitting the isolated plant of substrate so definitely can not make semiconductor surface be exposed to air-treatment in the present invention by irradiation such as laser and vapor deposition method.
Other purpose of the present invention and feature can be understood by following explanation.
Description of drawings
Fig. 1 is the schematic diagram of multi-chamber system of the present invention;
Fig. 2 is the schematic diagram of another multi-chamber system of the present invention;
Fig. 3 is the schematic diagram of another multi-chamber system of the present invention;
Fig. 4 is the schematic diagram of another multi-chamber system of the present invention;
Fig. 5 (A) to (F) is a sectional view of making the series operation that semiconductor device of the present invention carries out successively.
Fig. 6 is the schematic diagram of another multi-chamber system of the present invention;
Fig. 7 (A) has shown the manufacturing process of embodiment 5 to 7 (D);
Fig. 8 (A) has shown the manufacturing process of embodiment 6 to 8 (E);
Fig. 9 (A) has shown the manufacturing process of embodiment 7 to 9 (E);
Figure 10 (A) has shown different between traditional gate insulating film and the gate insulating film of the present invention to 10 (C);
Figure 11 has shown multi-chamber system of the present invention.
Embodiment
Embodiment 1
Referring to Fig. 1, shown here according to multi-chamber system of the present invention.This multi-chamber system comprises plasma CVD film forming device and laser processing apparatus (as laser annealing apparatus).Between these two equipment, form the preparation room.Plasma CVD equipment has chamber 1, and intake valve 7 and vent valve 8 are housed on it.Laser annealing apparatus has chamber 2, and intake valve 18 and vent valve 19 are housed on it.These valves allow desired gas to pass in and out these chambers.And these valves can make each indoor air pressure remain on suitable value.
Chamber 1 also is equipped with electrode 4 and 5.Pending substrate or sample place on the electrode 5.Frequency for example is that the RF power supply of 13.56MHz is connected with electrode 4.Enough gas such as list [first] silane or disilane are imported indoor, make induction discharge between the electrode.In this way, on substrate 6, form film.If desired, but heated substrate.Wherein, single [first] silane is as above-mentioned gas, and substrate remains on below 300 ℃, forms amorphous silicon film on substrate.
Because this amorphous silicon film does not have excellent electric performance, so make crystallization of silicon with laser annealing, improves performance with this.Chamber 2 is equipped with window 14.The laser of being launched by laser 11 passes window 14 through speculum 12 and lens 13, and is radiated on the substrate 17 that is positioned on the sample holder 15.Utilize heater 16 that substrate 17 is heated to 300-500 ℃, preferably 300-400 ℃.For carrying out the processing of crystallization with high reproducibility, this heat treated is absolutely necessary.
Sample holder 15 can move like that to the right in carrying substrates 17 as shown in FIG. lentamente.Like this, get final product the whole surface of treatment substrate with laser.Suppose that substrate is 300mm * 400mm.The cross section of laser beam is shaped as the rectangle of 2mm * 350mm, the whole surface of promptly available laser treatment substrate.If the support translational speed is 20mm/ second, the time of handling a substrate is 400/20=20 second.
The substrate that has formed amorphous silicon film by plasma CVD equipment 1 on it is sent to laser processing apparatus 2 subsequently.Form after the film, the inside of film forming device 1 is evacuated to sufficiently high vacuum degree.And, also be evacuated to sufficiently high vacuum degree by 9 preparation rooms that represent.Open the door that is arranged between film formation chamber 1 and the preparation room, the substrate translation is entered the preparation room.Close door afterwards.Once more reacting gas is introduced among the film forming device growth of beginning film.
In addition, the inside of laser processing apparatus 2 is pumped down to sufficiently high vacuum degree.Remain on sufficiently high vacuum degree in the preparation room 9 already.Open the door between preparation room and the laser processing apparatus, then substrate is sent to laser processing apparatus from the preparation room.Then close door, sample holder 15 is heated to proper temperature with heater 16.After the temperature stabilization, the substrate that places laser processing apparatus is accurately aimed at, carried out laser treatment.
In addition, if laser processing apparatus is handled a substrate (comprising the operation of placing substrate, aligning and taking-up substrate) required time and is equal to plasma CVD equipment basically and forms film (comprise and place the operation that substrate and inside vacuumize) required time, then between processing of finishing by plasma CVD equipment and the processing undertaken by laser processing apparatus, need not the stand-by period.If by laser treatment used time of substrate is to be formed half of used time of film by plasma CVD equipment, then can in once-through operation, on two substrates, form film by plasma CVD equipment.In this situation, after film was made, two substrates were moved to the preparation room.One of them is transferred in the laser processing apparatus of treatment substrate.Another piece is kept in the preparation room.After first processing, handle being kept at another piece of preparing in advance in the chamber.
Embodiment 2
Referring to Fig. 2, shown another multi-chamber system of the present invention here.This system comprises the combination of plasma doping equipment and laser processing apparatus (as laser annealing apparatus).Between these two equipment, form the preparation room.
Plasma doping equipment and laser annealing apparatus have chamber 21 and 22 respectively.These chambers are designed to introduce desired gas and can discharge void gas.And, can make each indoor air pressure remain on suitable value.
Anode electrode 24 and gate electrode 25 also are equipped with in chamber 21.Can put on anode up to the high pressure of 100KV from 23 of high voltage sourcies.Produce plasma and contain cation 26 around gate electrode by the RF discharge.These ions 26 are quickened to sample holder 28 by above-mentioned high pressure.As a result, the cation that is accelerated is injected into substrate or the sample 27 that places on the sample holder 28.
This ion injects and the state that is formed on on-chip crystalline material such as monocrystalline silicon or silicon metal is changed become amorphous or amorphous state almost.Electrical property is destroyed as a result.Therefore, make the substrate crystallization, improve performance by laser annealing.Chamber 22 is provided with window 34.Pass window 34 from laser 31 emission laser through speculum 32 and lens 33, and shine on the substrate 35 that places on the sample holder 36.Substrate can be heated by heater 37.Sample holder moves right resembling shown in the figure in carrying substrates lentamente.As a result, but with the whole surface of laser treatment substrate.By the mode identical with embodiment 1, by preparation room 29 the substrate shift-in laser processing apparatus 22 that mix by plasma doping equipment 21.
In this example, implantation equipment uses the ion of plasma source to inject.Obviously, available ion implantor replaces implantation equipment, comes isolating ions and is injected into substrate according to its quality.
Embodiment 3
Referring to Fig. 3, shown another multi-chamber system of the present invention here.This system comprises the combination of plasma doping equipment, dry corrosion equipment and laser processing apparatus (as laser annealing apparatus).In these three equipment, all form the preparation room between any adjacent two.
Plasma doping equipment, etching apparatus and laser annealing apparatus have chamber 41,42 and 43 respectively.These chambers are designed to introduce desired gas and can discharge void gas.And each indoor air pressure can remain on appropriate value.
Chamber 41 also is equipped with positive electrode 45 and gate electrode 46.High pressure from reached at the 100KV of high voltage source 44 puts on anode.Around gate electrode, produce plasma by the RF discharge, and contain cation 47.By above-mentioned high pressure these ions 47 are quickened to sample holder 49.As a result, cation that is accelerated such as boron ion or phosphonium ion are injected into substrate or the sample 48 that places on the sample holder 49.
For example, suppose on insulating substrate 48, to form crystallizing silicon layer, and on crystallizing silicon layer, form silicon oxide layer.And hypothesis has formed the gate electrode of thin-film transistor.Adopt this doping method, required impurity is injected silicon oxide layer and silicon layer.Because by mixing, so this method is suitable for preparing semiconductor device with high production rate as oxide materials.
Illustrated that as embodiment 2 this ion injects and destroyed degree of crystallinity, thereby utilizes laser annealing or other method to improve degree of crystallinity.Impurity also is injected into silica.The UV excimer laser laser that wherein is suitable for producing in batches is used as laser annealing, and for example the KrF laser is launched the wavelength of 248nm, the wavelength of Xecl laser emission 308nm, and the wavelength of XeF laser emission 350nm has produced problem thus.Particularly, pure silica is only transparent to the UV that wavelength surpasses 200nm, but impure silica is considerable to this UV absorbing amount.As a result, the most of oxidized silicon fiml of laser energy absorbs.This causes effectively utilizing laser energy and improves degree of crystallinity.Be head it off, silicon oxide film is corroded, so that laser radiation energy is absorbed effectively by the film that those need improve degree of crystallinity.Be provided with etching apparatus 42 for this purpose.
Etching apparatus 42 is equipped with electrode 53 and 54.RF power supply 52 is connected with electrode 53.Substrate 55 places on the electrode 54.For example, in the environment of carbon tetrafluoride, produce discharge by the electric energy from the RF power supply between electrode, then on-chip silicon oxide film can be corroded.
Laser processing apparatus 43 is identical with 2 described laser processing apparatus with embodiment 1 basically, and chamber 43 installs fenestrate 61.Pass window 61 by laser 58 emitted laser through speculum 59 and lens 60, be radiated on the substrate 62 that places on the movable sample holder 64.Substrate can heat with heater 63.
By the mode identical with embodiment 1, by preparation room 50 being sent to etching apparatus 42 by the substrate of plasma doping equipment 41 doping.After corrosion treatment finishes, by preparation room 54 substrate shift-in laser processing apparatus 43.
In conjunction with Fig. 5 (A)-(F), the example that uses this multi-chamber system to prepare thin-film transistor (TFT) is illustrated.Adopt sputter or plasma CVD, on the glass substrate 101 that Corning 7059 makes, form thick 20 to 200nm silicon oxide film 102 and constitute bottom.Then, adopt LPCVD, plasma CVD, sputter or other similar approach deposition of amorphous silicon as the 100-200nm thick film.In the environment of nitrogen or vacuum,, make the amorphous silicon film crystallization to being stacked in 550-650 ℃ of heating 48 hours.
N type district 103 and p type island region 104 are made in the crystal silicon film needle drawing.Form thick 50 to 150nm silicon oxide film 105 as gate oxidation films.Then, make gate electrode 106 and 107, i.e. aluminium, tantalum, chromium, tungsten, molybdenum, silicon, some alloy or multilayer conductive interconnection material (Fig. 5 (A)) wherein with following material.
Only in N type district 103, form mask material 108 as photoresist.Inject the boron ion with plasma doping equipment shown in Figure 3 41.With 20 to 65KeV, the accelerating voltage that typically is 65KeV quickens the boron ion.Dosage is 6 * 10 15Atom/cm 2Form p type island region 109 (Fig. 5 (B)) by this doping treatment.
After doping process finished, substrate was transferred in the etching apparatus 42, at this, adopted discharge to remove mask material 108 in oxygen atmosphere.Usually, lift off mask material such as photoresist can obtain high efficiency in stripper.But this will consider the substrate shift-in and shift out vacuum equipment, will provide high efficiency by the etching apparatus polishing for each chamber system shown in Figure 3.And, can obtain high output.
Again substrate is sent back to implantation equipment 41, inject phosphorus atoms.With 20 to 85KeV, the accelerating voltage that typically is 80KeV quickens phosphorus atoms.Dosage is 4 * 10 15Atom/cm 2Utilize this doping process to form N type district 110 (Fig. 5 (C)).
Then, substrate transmitted again feed into etching apparatus 42, silicon oxide film 105 is eroded.As previously mentioned, comprise a large amount of phosphorus and boron in the silicon oxide film.The oxidized silicon fiml of laser absorbs consumingly.This makes can't carry out laser annealing (Fig. 5 (D)) effectively.
Corroded after the silicon oxide film 105, substrate has been transmitted into laser processing apparatus 43, carried out laser annealing.The radiation of KrF laser emitted laser is adopted in laser annealing, and pulse duration is 20ns, and repetition rate is 200Hz.Obviously, also can adopt the laser of other type.The energy density of each pulse of laser beam is 200 to 400mJ/cm 2, be preferably 250 to 300mJ/cm 2Can adjust this energy density (Fig. 5 (E)) according to other condition such as dosage and silicon film thickness.
After finishing laser annealing, take out substrate, form interlayer dielectric 111 and metal interconnected-electrode 112.Certainly, can in the multi-chamber system of Fig. 3, increase film formation chamber, be used for forming continuously interlayer dielectric,, form N raceway groove and P channel TFT s by these steps.
Among Fig. 3, each vacuum equipment is connected in series.For example, vacuum equipment also can be connected in parallel by shown in Figure 4, and wherein chamber 71 is used to put into and take out substrate, and by door 72,74,76,78, laser processing apparatus 73, plasma doping equipment 75 and etching apparatus 77 are connected with public preparation room 79 respectively.
By manipulator 80 substrate 81-84 is sent to preparation room and other chamber.This system can expand on demand.During batch process, can improve flexibility (form step and corrosion step load capacity as increasing film, and be used for prolonging the flexibility of the productive temp adjustment that causes) because of the film formation time.
Embodiment 4
Referring to Fig. 6, plasma doping equipment has chamber 41.Etching apparatus has chamber 42.Adopt the rapid thermal annealing (RTA) of infrared radiation in chamber 601, to carry out.Chamber 41 is connected with chamber 42 by preparation room 50.Chamber 42 is connected with chamber 601 by preparation room 56.Should be noted that in Fig. 3 and 6 identical parts are by identical reference number representative.
The chamber 601 of carrying out rapid thermal annealing comprises light source or the bulb 602 of launching infrared light, constitutes the chamber 603 of light source chamber and the quartz window 606 of transmitted infrared light.The gas handling system (not shown) also is equipped with in chamber 601, is used for introducing inert gas and desired gas, and the gas extraction system (not shown) is housed.
Substrate 604 places on the base sheet rack 605, and by being used to transmit the manipulator of substrate or starting to transmit each chamber certainly.Substrate can transmit separately also and can transmit with base sheet rack.
It is known carrying out rapid thermal annealing in the environment of inert gas such as nitrogen.As an alternative, also can be at ammonia (NH 3), nitrous oxide (N 2O) or in the environment of oxygen anneal.
The example of equipment shown in Figure 6 is adopted in explanation now.Fig. 5 has showed the order of making the TFT step.At this manufacturing of right side TFT only is discussed.At this moment, on active layer 104, form silicon oxide film.Then substrate is sent to chamber 601, carries out rapid thermal annealing.Be full of inert gas in the chamber 601, and shine with the infrared light of bulb 602 emissions.This step has been improved the interfacial characteristics between active layer 103 and the silicon oxide film 105.Specifically, can reduce between channel formation region and the gate insulating film at the interface interface energy level.
Be evacuated in the chamber 601.Its sheet is transferred into preparation room 56, its inner basic maintenance and the identical vacuum degree of chamber 601 internal gas pressures.By chamber 42 that remains on identical vacuum degree and preparation room 50, substrate is transmitted into chamber 41, carry out plasma doping.When carrying out these steps that transmit substrate, importantly can not make substrate expose extraneous air.
Carry out required ion implantation step in implantation equipment indoor.The chamber 42 of substrate shift-in etching apparatus, keep vacuum degree simultaneously then.Carry out dry corrosion technology and remove the oxide-film 105 of exposure.Substrate is transmitted into chamber 601, carry out rapid thermal annealing, activate the impurity that injects.At this moment, the existence of No oxided film 105 is important to effective realization rapid thermal annealing.Particularly, the impurity that ion gathers when injecting is present within the silicon oxide film 105, and this impurity absorbs infrared light.
So far described device structure can be replaced with the combination of carrying out the chamber of rapid thermal annealing by the chamber of irradiating laser radiation.And, can required a plurality of chambers be combined.
Embodiment 5
Referring to Fig. 1, showed multi-chamber system of the present invention here.This multi-chamber system comprises plasma CVD film forming device and laser processing apparatus (as laser annealing apparatus).Between these two equipment, form the preparation room.Plasma CVD equipment has the chamber 1 that air intake valve 7 and drain tap 8 have been installed.Laser annealing apparatus has the chamber 2 of the drain tap 19 that air intake valve 18 has been installed.These valves allow desired gas to enter and discharge these chambers.And these valves can make each room pressure keep suitable value.
Chamber 1 also is equipped with electrode 4 and 5.Pending substrate or sample 6 place on the electrode 5.Frequency is that the RF power supply 3 as 13.56MHz is connected with electrode 4.Enough gas such as monosilane or disilane and oxygen, nitrous oxide etc. are imported the chamber, make induction discharge between the electrode.In this way, on substrate 6, form film.If desired, but heated substrate.
Chamber 2 is provided with window 14.From the laser of laser equipment 11 through speculum 12 and lens 13 are radiated at substrate 17 on the sample holder 15 by window on.With heater 16 substrate is heated to 200 to 500 ℃, preferably 300 to 400 ℃.This heating is absolutely necessary for the crystallization that realizes having good reproducibility.
In chamber 2, improve crystallization property by laser annealing, do one's utmost to improve performance.Here, indoorly be oxygen atmosphere, can carry out the improvement of the crystallization property of the on-monocrystalline silicon fiml of amorphous silicon film etc. simultaneously and form oxide-film from the teeth outwards by what make laser annealing apparatus.Press Same Way, if in nitriding atmosphere such as ammonia atmosphere, carry out laser annealing.Then form nitride film.In nitrous oxide atmosphere or oxygen and the mixed atmosphere of ammonia in, form nitrogen oxidation film.
In carrying substrates, sample holder can move right as shown in the figure lentamente.With this, can be by the whole surface of laser treatment substrate.Suppose that substrate is 300mm * 400mm.Make lasing aperture be shaped as the rectangle of 2mm * 350mm, the whole surface of promptly available laser treatment substrate.If the support translational speed is 20mm/ second, then handling a substrate required time is 400/20=second.
On substrate, form the amorphous silicon film or the polysilicon film of island, this substrate is handled in the following order.At first, the preparation room is evacuated to 10 -5To 1 torr.On the other hand, the chamber 2 of laser processing apparatus also is evacuated to par.Door between preparation room and the laser processing apparatus is opened, substrate is sent to laser processing apparatus from the preparation room.After the transmission, close this door, introduce the gas of suitable pressure to chamber 2.With heater 16 sample holder 15 is heated to proper temperature.Behind temperature stabilization, and after the accurate aligning of substrate in laser processing apparatus finish, carry out laser annealing.Utilize laser radiation, the crystallization property of silicon is improved.Here, air pressure is 1 to 1000 torr, oxygen or nitrogen oxide (nitrous oxide (N for example 2O), nitrogen dioxide (NO 2) etc.) and dividing potential drop be more than 10%.As a result, in laser radiation, on the silicon fiml surface, form silicon oxide film.
Then, chamber 2 is vacuumized, making its pressure is 10 -5To 1 torr.Again substrate is put in preparation room 9, and is sent to the vacuum degree plasma CVD deposition chamber 1 identical with the preparation room.During this depositing technics, can in chamber 1, carry out the processing of other substrate.After deposit was finished, the inside of deposition apparatus 1 was evacuated to 10 -5To 1 torr.Door between deposition chamber 1 and the preparation room is opened, substrate is sent to the identical preparation room of vacuum degree.Make preparation room's pressure identical then, and substrate is got in the air with atmosphere.
If time used in laser equipment to the above-mentioned processing of substrate, for example substrate is placed, aimed at and takes out the used time and in plasma CVD equipment, do above-mentioned deposit, comprise the placement of substrate and vacuumize the used time roughly the same, then can need not the stand-by period substrate to be handled by laser processing apparatus to plasma CVD equipment.If the time of laser treatment substrate is the plasma CVD deposition time half, then can while two substrates of deposit in plasma CVD.At this moment, at first there is the preparation room in two substrates.One of these two substrates are sent to laser processing apparatus to be handled.Another piece is stayed in the preparation room.After first substrate handled, again another piece that has the preparation room is handled.After two substrates are all handled, it is sent to the deposit simultaneously of plasma CVD equipment.
To do explained in general to the technology that adopts this equipment to form TFT.Technology is shown in Fig. 7.
At first on the glass substrate 401 of Corning7059 etc., form silica counterdie 402.The preferred thickness of silicon oxide film 402 is 1000 to 5000
Figure C200610101189D0018103927QIETU
, so that prevent ionic soil from glass substrate 401.Its suitable thickness is 2000
Figure C200610101189D0018103927QIETU
Afterwards, deposition of amorphous silicon film.Its thickness is preferably 300 to 1000
Figure C200610101189D0018103927QIETU
Here elect 500 as
Figure C200610101189D0018103927QIETU
By 400 to 500 ℃, for example 450 ℃ annealing, from film, remove dehydrogenation.This amorphous silicon film is processed into island shape, makes island-shaped area 403.Utilize the laser processing apparatus 2 of equipment among Fig. 1, to the substrate irradiating laser of processing like this. the amorphous silicon film 403 of island shape is changed into crystal silicon film.
Use the KrF excimer laser as laser.The condition of laser radiation is, energy density is 350 to 450mJ/cm 2, each position irradiation 2 to 20 times, substrate temperature is 200 to 400 ℃.Laser radiation atmosphere is the oxygen/argon atmospher of 1 air pressure.The oxygen splenium is divided into 20%.
As the result of this laser radiation, on the surface of island-shaped area 403, form thick several+
Figure C200610101189D0018103927QIETU
Silicon oxide film 404 (Fig. 7 (A)).
Then, substrate is sent to the plasma CVD equipment of Fig. 1.Form silicon oxide film here.During substrate was sent to the plasma CVD deposition apparatus from laser processing apparatus, substrate will not contact the Atmospheric components that resemble water etc.
In plasma CVD equipment 1, form 1000 to 1500 by silane and nitrous oxide
Figure C200610101189D0018103927QIETU
, for example 1200
Figure C200610101189D0018103927QIETU
Silicon oxide film 405.Be preferably in the material gas and mix a spot of trichloroethylene or hydrogen chloride because in the silicon fiml movably ion also be removed.This silicon oxide film 405 also plays the effect (Fig. 7 (B)) of the gate insulating film of TFT.
Subsequently, form gate electrode 406 and 408, i.e. the material of the alloy of aluminium, tantalum, chromium, tungsten, molybdenum, silicon or these metals or multilayer connection etc. by following material.By in electrolyte, gate electrode being imposed electric current, on the surface of gate electrode and form anode oxide film 407 and 409 on every side.The thickness of anode oxide film is 1000 to 2500
Figure C200610101189D0018103927QIETU
This anode oxide film can reduce by the infringement to gate electrode of the processing cause of follow-up ion doping, laser annealing or deposit interlevel insulator.
Adopt known ion doping method and complementary MOS (CMOS) technology, form p type island region 410,412 and N type district 413,415.As a result, form the channel region 411 of P channel TFT (PTFT) and the channel region 414 of N channel TFT (NTFT).Utilize laser radiation, the crystallization property in those districts of being damaged by ion doping is taken a turn for the better.This laser radiation also is to be finished by the laser processing apparatus of Fig. 1.At this moment, laser energy needn't resemble used so strong of the technology of Fig. 7 (A).As the condition of laser radiation, substrate temperature is a room temperature, and laser energy density is 250 to 350mJ/cm 2The technology identical (Fig. 7 (C)) of other condition and Fig. 7 (A).
Afterwards, substrate is sent to the plasma CVD equipment of Fig. 1 (A).Form hydrogenated silicon film 416 as interlevel insulator.The thickness of silicon oxide film is 3000 to 8000
Figure C200610101189D0018103927QIETU
, for example 5000
Figure C200610101189D0018103927QIETU
Subsequently, at the island-shaped area opening contact hole, and deposit 3000 to 8000
Figure C200610101189D0018103927QIETU
, for example 5000
Figure C200610101189D0018103927QIETU
The aluminium film.By etching, form wiring-electrode 417,418 and 419.By between aluminium and island-shaped area, being provided with thick 500 to 1500
Figure C200610101189D0018103927QIETU
, for example 1000
Figure C200610101189D0018103927QIETU
Titanium nitride film, can obtain excellent contact characteristic (Fig. 7 (D)).
The TFT that this example forms has good performance.For example, the field-effect mobility that can stably obtain is 200 to 300cm for NTFT 2/ Vs is 100 to 250cm for PTFT 2/ Vs.
Embodiment 6
Referring to Fig. 3, shown another multi-chamber system of the present invention here.This system comprises the combination of plasma doping equipment (or being called ion doping equipment), plasma CVD equipment (also being dry corrosion equipment) and laser processing apparatus (as laser annealing apparatus).Form the preparation room between any adjacent two in these three equipment.
Plasma doping equipment, etching apparatus and laser annealing apparatus have family 41,42 and 43 respectively.These chambers are designed to introduce desired gas and can discharge void gas.And each room pressure can remain on suitable value.
Anode electrode 45 and gate electrode 46 also are equipped with in chamber 41.Can put on anode up to the high pressure of 100KV by 44 of high voltage sourcies.Can around gate electrode, produce plasma and contain cation 47 by the RF discharge.By above-mentioned high pressure these ions 47 are quickened to sample holder 49.As a result, injections such as the cation that quickens such as boron ion, phosphonium ion, hydrogen ion are positioned at substrate or sample 48 on the sample holder 49.
For example, form crystallizing silicon layer on the insulating substrate of supposing 48, and on crystallizing silicon layer, form silicon oxide layer.And, supposed to form the gate electrode of film crystal.Adopt this doping method, required impurity is injected silicon oxide layer and silicon layer.This method is called and penetrates doping, is suitable for high-throughput ground and forms semiconductor device, because by mixing as oxide materials.
Plasma CVD equipment also is that etching apparatus 42 is equipped with electrode 53 and 54, and RF power supply 52 is connected with electrode 53.Substrate 55 places on the electrode 54.As an example,, between electrode, produce discharge by electric energy, then corrodible on-chip silicon oxide film from the RF power supply if in the environment of carbon tetrafluoride.If in oxygen atmosphere, produce the RF discharge, then organic substance such as photoresist oxidized-remove, and polish.If in the environment of list [first] silane and oxygen, produce the RF discharge, but silicon oxide deposition film then.
Laser processing apparatus 43 is basic identical with embodiment 5 described laser processing apparatus.Chamber 43 is equipped with window 61.Pass window 61 by laser 58 emitted laser through speculum 59 and lens 60, and be radiated on the substrate 62 that places on the movable sample holder 64.Available heater 63 heated substrate.As used laser, be applicable to the excimer laser laser of the ultraviolet light of batch process, for example KrF laser (wavelength 248nm), Xecl laser (308nm) or XeF laser (350nm) are expected. Spare room 50 and 56 is provided with between plasma doping equipment 41, plasma CVD equipment 42 and the laser treatment chamber 43.
Because there are a plurality of chambers in this system, so can carry out various processing.For example, at first, in plasma doping treatment facility 41, an amount of hydrogen ion is injected on-chip amorphous of formation or polysilicon island shape film.Then, in laser processing apparatus 43, in the atmosphere of oxygen or nitrous oxide, irradiating laser.Improve the crystallization property of island shape silicon area, form silicon oxide film in its surface.Afterwards, substrate is sent to plasma CVD equipment 42, deposit is as gate insulating film dielectric film such as silica.Hydrionic injection technology is omissible.
This equipment also can be used for comprising the processing of the doping process of source/leakage.At first, with photoetching limb coated substrates.In plasma doping equipment 41, only at district's doping P type (or N type) impurity of P type to be formed (or N type) TFT.Afterwards, substrate is sent to plasma CVD equipment 42.By in oxygen atmosphere, carrying out the RF discharge, promptly remove photoresist by polishing.As a result, expose the whole surface of substrate.
Again substrate is returned plasma doping equipment 41, mix N type (or P type) impurity.In this mixed, N type (or P type) impurity was incorporated in the district that covers with photoetching in the previous doping process.This district becomes N type (or P type).On the other hand, N type (or P type) impurity also is incorporated in the district of having mixed p type impurity in the previous technology.Reduce by the dosage that mixes is for the first time mixed than the back, can make this district remain P type (becoming the N type).
Afterwards, substrate is sent to laser processing apparatus 43, and uses the laser matting, so that the impurity activation of having mixed, as embodiment 5.
Referring to Fig. 8, the example that adopts this multi-chamber system to make thin-film transistor (TFT) is described.Adopt sputter or plasma CVD,, on the glass substrate of making 201, form thick 200 to 2000 by Corning 7059
Figure C200610101189D0018103927QIETU
Silicon oxide film 202 constitute bottoms.Then, adopt LPCVD, plasma CVD, sputter or other similar approach, deposition of amorphous silicon is as 300 to 1000
Figure C200610101189D0018103927QIETU
Thick film.In nitrogen environment or vacuum, lamination is heated to 550-650 ℃ and continues 4 to 48 hours, make the amorphous silicon film crystallization.Here,, then can reduce crystallization, temperature and can shorten crystallization time if in film, sneak into a spot of nickel.
Crystal silicon film is carried out needle drawing, form island district 203.Afterwards, substrate is put into equipment shown in Figure 3.Utilize the laser processing apparatus irradiating laser, the crystallization property of island shape silicon fiml 203 is improved.Different with embodiment 5, the crystallization in the shape silicon area of island advances to a certain degree.But crystal boundary still has a large amount of small amorphous unit.This amorphous unit is carried out thorough crystallization, can significantly improve the performance of TFT.
Adopt KrF excimer laser laser.As laser irradiation condition, energy density is 350 to 450mJ/cm 2, the irradiation number of times of each position is 2 to 20, substrate temperature is 200 to 400 ℃.In the nitrous oxide atmosphere of an air pressure, carry out laser radiation.
As the result of this laser radiation, on the surface of island-shaped area 203, form thick tens
Figure C200610101189D0022155701QIETU
Silicon oxide film 204.Afterwards, substrate is sent to plasma CVD equipment 42 in Fig. 3 system.Deposit thick 1000 to 1500 there
Figure C200610101189D0018103927QIETU
, for example 1200
Figure C200610101189D0018103927QIETU
Silicon oxide film 205 (Fig. 8 (B)).
Subsequently, make gate electrode 206 and 208 with the aluminium that contains 0.1 to 0.3 weight % scandium.In electrolyte, gate electrode is passed to electric current, at surface gate electrode and form anode oxide film 207 and 209 on every side.The thickness of anode oxide film is 1000 to 2500
Figure C200610101189D0018103927QIETU
Shelter the right part of island-shaped area 203 among Fig. 8 with photoresist, be placed in the equipment of Fig. 3.Mix 0.5 to 5 * 10 by plasma doping equipment 41 15/ cm 2Boron.As a result, at the left part formation p type island region 210 and 212 of island-shaped area 203, also formed the channel region 211 of PTFT.Then, substrate is sent to plasma CVD equipment 42.Remove photoresist by the oxygen plasma polishing.Again substrate is sent to plasma doping equipment 41, Doping Phosphorus.The dopant dose of expectation is 0.1 to 2 * 10 15/ cm 2, and be less than the doping of previous boron.According to said method, make N type district 213,215 and the channel region 214 (Fig. 8 (C)) of NTFT.
Substrate is sent to laser processing apparatus 43, the impurity that mixes is activated.As the laser annealing condition, substrate temperature is a room temperature, and optical energy density is 250 to 350mJ/cm 2(Fig. 8 (D)).
Substrate is sent to the plasma CVD equipment 42 of Fig. 3, forms silicon oxide film 216 as interlevel insulator.The thickness of silicon oxide film is 3000 to 8000
Figure C200610101189D0018103927QIETU
, for example 5000
Figure C200610101189D0018103927QIETU
Afterwards, from the equipment of Fig. 3, take out substrate.After island-shaped area has been opened contact hole, deposit thick 1000
Figure C200610101189D0018103927QIETU
Titanium nitride film, deposit thick 3000 to 8000 again
Figure C200610101189D0018103927QIETU
, for example 5000
Figure C200610101189D0018103927QIETU
The aluminium film.It is carried out etching, form wiring-electrode 217,218 and 219.According to said method, make CMOS type TFT circuit (Fig. 8 (E)).
Embodiment 7
Fig. 4 provides the schematic diagram with system of multicell structure of the present invention.The details drawing of Fig. 4 system is seen Figure 11.
Fig. 3 system comprises the multicell of three equipment that I-shaped connects, the multicell of star in this routine equipment.That is this example has chamber 71, comprises loading-unloader 71; Chamber 73 comprises being used for substrate is carried out the various heat treated heating chamber of (as annealing in nitrogen atmosphere); Chamber 75 comprises the laser treatment chamber that is used for irradiating laser; Chamber 77 comprises the deposition chamber by sputtering method silicon oxide deposition film; And public preparation room (transfer chamber) 79.Interconnect by door 72,74,76 and 78 between preparation room and each chamber.Utilization is arranged on the manipulator 80 of public preparation room 79, shown among Fig. 4 81 to 84, substrate is moved between each chamber.
Loading-unloader can adopt box to box (C is to C) method.C to the C method in, when a plurality of substrates place the box of loading-discharge mechanism, substrate is transmitted one by one automatically, and the substrate of having finished processing is sent back to box automatically by manipulator.
Below explanation adopts Fig. 4 system to form the example of TFT (thin-film transistor).In conjunction with Fig. 9 this example is described.Morals, glass substrate 301 adopts Corning 7059 substrates.Under 620 to 660 ℃ to this substrate annealing 1 to 4 hour after, with 0.1 to 1.0 ℃/minute, preferably 0.1 to 0.3 ℃/minute is cooled off this substrate gradually.When reducing to 450 to 590 ℃, temperature takes out substrate.
On substrate, form counterdie 302, and adopt plasma CVD method to form 300 to 800
Figure C200610101189D0018103927QIETU
Thick amorphous silicon film 303.Utilize thick 1000
Figure C200610101189D0018103927QIETU
Silicon oxide mask 304, in the district of 305 indications, form thick 20 to 50 by sputter
Figure C200610101189D0018103927QIETU
The nickel film.This nickel film may not be continuous film.Not only available sputtering method, and available spin-coating method.Here, the nickel of interpolation has the effect that helps crystallization.
Subsequently, in blanket of nitrogen,, for example carry out 8 hours thermal annealings under 550 ℃ at 500 to 620 ℃.Make silicon fiml 303 crystallization.Crystallization starts from the district 305 that the nickel film contacts with silicon fiml.Crystal growth is parallel to substrate to be carried out, shown in arrow among the figure (Fig. 9 (A)).
By to silicon fiml 303 etchings, form the active layer district 306 and 307 of island shape.Here, in the district of district that directly introduces nickel and crystal growth end, nickel exists with high concentration.Notice that the nickel concentration in these districts will exceed nearly order of magnitude than other crystallization region.Thereby in this example, the district that avoid these high concentration of nickel forms active layer district 306 and 307, and the district with high concentration of nickel is removed.In being arranged, the district of minor amount of nickel forms the active layer of TFT.Nickel concentration in this example in the active layer district approximately is 10 17To 10 19Cm -3
The substrate of according to said method handling is put into system shown in Figure 4 from loading-unloader 71.Substrate is put into after loading-unloader 71, and institute has family and vacuumizes.Open door 72, substrate is sent to public preparation (transfer chamber) 79 with mechanical arm.Then, close door 72, open door 74, substrate is sent to thermal chamber 73.Atmosphere is hydrogen or nitrogen, and substrate is heated to 200 to 400 ℃.
Open door 74 and door 76 then, substrate is sent to laser treatment chamber 75.Close door 76, make laser treatment chamber 75 become oxygen atmosphere under the normal pressure.Although adopt oxygen atmosphere here, also can adopt oxidizing atmosphere.
Laser irradiation condition and embodiment 6 be usefulness mutually, on the surface of active layer 306 and 307, obtains thick 50 to 150
Figure C200610101189D0018103927QIETU
Silicon oxide film 308.The crystallization property of silicon fiml be further improved (Fig. 9 (B)) in the district of crystallization by previous thermal annealing.
According to said method, before irradiating laser, in thermal chamber, preheat substrate, can save from substrate and put into the time of laser treatment chamber to the substrate temperature rising.
After finishing laser radiation, discharge the gas in the laser treatment chamber 75, make it become high vacuum state.Open door 76, substrate is sent to public preparation room 79 with manipulator.Close door 76.Open door 74, substrate is sent to thermal chamber 73.
After finishing this transmission, close door 74.Make the nitrogen atmosphere under 73 one-tenth normal pressures of thermal chamber.In thermal chamber 73, under 350 ℃, carry out 30 minutes hydrogen heat treated.
In this hydrogen heat is handled, be present between oxide-film 308 and the active layer 306,307 at the interface and approaching at the interface dangling bonds be neutralized.Therefore can reduce state and can realize expecting to have very much interfacial characteristics.
After finishing heat treated, make thermal chamber 73 become the high vacuum attitude.Open door 74, substrate is sent to public preparation room 79, finish to transmit and close door 74 afterwards, open door 78 again, substrate is transmitted deposition chamber 77 with manipulator 80.Finish after the transmission, close door 78.In deposition chamber 77, use sputtering method silicon oxide deposition film 309.Sputtering target is high-purity synthetic quartz.The silicon oxide film 309 thick 1000 of deposit
Figure C200610101189D0018103927QIETU
This silicon oxide film oxide-film below it 308 becomes one, and can freely control thickness, and with the interfacial characteristics of substrate on also give prominence to.Thereby, but deposit comprises the silicon oxide film 309 of the gate insulating film of TFT.Also can form silicon nitride film and represent silicon oxide film 309.
During depositing technics, the gate insulating film of Xing Chenging can not be exposed to air in this way.Therefore, interface surface can be not contaminated, and can obtain suitable interfacial characteristics.Particularly the oxide-film 308 that is formed by laser radiation in oxygen atmosphere or oxidizing atmosphere is being given prominence to the interfacial characteristics of the silicon fiml that constitutes active layer 306,307, and is being had crystallization property.Can obtain to have the fabulous gate insulating film of the TFT of low interface concentration.
In deposition chamber 77, behind the silicon oxide deposition film 309, in thermal chamber 73, carry out further hydrogen heat annealing.
In deposition chamber 77, after the silicon oxide deposition film 309, make deposition chamber 77 become the high vacuum attitude.Open door 78, substrate is sent to public preparation room 79.Close door 78.Then, open door 72, substrate is sent to loading-unloader 71.Close door 72, substrate is taken out in system from loading-unloader 71.
Adopt sputtering method, deposit thick 5000
Figure C200610101189D0018103927QIETU
The film that mainly contains aluminium by resemble etching the embodiment 6 and anodic oxidation, form gate electrode part 310 and 311
As embodiment 5 and 6, inject phosphorus and boron, form source and the drain region of TFT with self-aligned manner.
After Doping Phosphorus and the boron, with laser or its intensity other rayed identical, so that activation of source and drain region with laser.Adopt the plasma CVD method, form about thick 5000
Figure C200610101189D0018103927QIETU
Silicon oxide film 312 as interlevel insulator.In silicon oxide film 213 after the perforate, formation source and drain electrode-wiring 313,314 and 315 is finished hydrogen heat and is handled in nitrogen atmosphere, under 350 ℃, make CMOS type TFT.
When in nitriding atmosphere, replacing oxidizing atmosphere to apply laser, then obtain silicon nitride film 308.
In the present invention, laser processing apparatus and relevant vacuum equipment such as film forming device, etching apparatus and implantation equipment combination are so that the composition system.This system can provide improved productivity ratio effectively.Especially, in oxygen atmosphere or oxidizing atmosphere,, the crystallization property of film can be improved, oxide-film can be formed in its surface simultaneously with laser radiation amorphous or polycrystalline film.Afterwards, by deposit dielectric film such as silica and be not exposed to extraneous air, the gate insulating film that is formed on the interfacial state excellence on the silicon fiml of crystallization property can be had.
On the other hand, can prevent the stepped portions and the island-shaped area electrical short of gate electrode.That is, shown in Figure 10 (A), in common TFT technology, when forming island-shaped area, adopt excessive erosion on the silicon fiml edge, to cause the space.Particularly the silicon oxide film of substrate is soft situation (rate of corrosion is big), and this is significant.Forming under the situation of gate insulating film with existing PVD method or CVD method,,, producing peak current (Figure 10 (B)) so be short-circuited by crack etc. because these spaces can not cover well.
Yet, in the present invention, forming fine oxide-film or nitride film around silicon fiml, this film has homogeneous thickness and free of pinholes etc., and this is because the result of irradiating laser or its intensity base its light identical with laser.Even produce above-mentioned crack, do not have any problem in the practicality yet, between gate electrode and island-shaped area, can not be short-circuited.

Claims (33)

1. a method of making semiconductor device comprises the following steps:
In the oxygen-containing atmosphere of first Room, utilize the semiconductor film that on substrate, forms along the laser radiation of a direction extension on the irradiating surface;
Substrate is transported to second Room from described first Room;
In described second Room, on semiconductor film, form gate insulating film;
Wherein said first Room and described second Room are connected with each other through the preparation room.
2. method according to claim 1 is characterized in that, described second Room is the part of sputter equipment.
3. method according to claim 1 is characterized in that, described laser is launched from excimer laser or YAG laser.
4. method according to claim 1 is characterized in that described laser is rectangular on described irradiating surface.
5. method according to claim 1 is characterized in that described gate insulating film comprises silicon oxide film.
6. a method of making semiconductor device comprises the following steps:
In the oxygen-containing atmosphere of first Room, utilize the semiconductor film that on substrate, forms along the laser radiation of a direction extension on the irradiating surface;
Substrate is transported to second Room from described first Room;
In described second Room, on semiconductor film, form gate insulating film;
The step that wherein forms gate insulating film is carried out not being exposed under the airborne situation, and
Wherein said first Room and described second Room are connected with each other through the preparation room.
7. method according to claim 6 is characterized in that, described second Room is the part of sputter equipment.
8. method according to claim 6 is characterized in that, described laser is launched from excimer laser or YAG laser.
9. method according to claim 6 is characterized in that described laser is rectangular on described irradiating surface.
10. method according to claim 6 is characterized in that described gate insulating film comprises silicon oxide film.
11. a method of making semiconductor device comprises the following steps:
In the oxygen-containing atmosphere of first Room, the semiconductor film that the laser radiation that utilization is extended along a direction of irradiating surface forms on substrate;
Substrate is transported to second Room from described first Room;
In described second Room, on semiconductor film, form gate insulating film;
Wherein said first Room and described second Room constitute the part of multicell device, and
Wherein said first Room and described second Room are connected with each other through the preparation room.
12. method according to claim 11 is characterized in that, described second Room is the part of sputter equipment.
13. method according to claim 11 is characterized in that, described laser is launched from excimer laser or YAG laser.
14. method according to claim 11 is characterized in that, described laser is rectangular on described irradiating surface.
15. method according to claim 11 is characterized in that, described gate insulating film comprises silicon oxide film.
16. a method of making semiconductor device comprises the following steps:
In the oxygen-containing atmosphere of first Room, the semiconductor film that the laser radiation that utilization is extended along a direction of irradiating surface forms on substrate;
Substrate is transported to second Room from described first Room;
In described second Room, on semiconductor film, form gate insulating film;
Substrate is transported to the 3rd Room from described second Room;
In described the 3rd Room, heat-treat;
Wherein said first to the 3rd Room is connected with each other through the preparation room.
17. method according to claim 16 is characterized in that, described second Room is the part of sputter equipment.
18. method according to claim 16 is characterized in that, described laser is launched from excimer laser or YAG laser.
19. method according to claim 16 is characterized in that, described laser is rectangular on described irradiating surface.
20. method according to claim 16 is characterized in that, described heat treatment is carried out in hydrogeneous atmosphere.
21. method according to claim 16 is characterized in that, described gate insulating film comprises silicon oxide film.
22. a method of making semiconductor device comprises the following steps:
In the oxygen-containing atmosphere of first Room, the semiconductor film that utilizes laser radiation on substrate, to form;
Substrate is transported to second Room from described first Room;
In described second Room, on semiconductor film, form gate insulating film;
Substrate is transported to the 3rd Room from described second Room;
In described the 3rd Room, heat-treat;
The step that wherein forms gate insulating film is carried out not being exposed under the airborne situation, and
Wherein said first Room is connected with each other through the preparation room to described the 3rd Room.
23. method according to claim 22 is characterized in that, described second Room is the part of sputter equipment.
24. method according to claim 22 is characterized in that, described laser is launched from excimer laser or YAG laser.
25. method according to claim 22 is characterized in that, described laser is rectangular on an irradiating surface.
26. method according to claim 22 is characterized in that, described heat treatment is carried out in hydrogeneous atmosphere.
27. method according to claim 22 is characterized in that, described gate insulating film comprises silicon oxide film.
28. a method of making semiconductor device comprises the following steps:
In the oxygen-containing atmosphere of first Room, the semiconductor film that utilizes laser radiation on substrate, to form;
Substrate is transported to second Room from described first Room;
In described second Room, on semiconductor film, form gate insulating film;
Substrate is transported to the 3rd Room from described second Room;
In described the 3rd Room, heat-treat;
Wherein said first to the 3rd Room constitutes the part of multicell device, and
Wherein said first Room is connected with each other through the preparation room to described the 3rd Room.
29. method according to claim 28 is characterized in that, described second Room is the part of sputter equipment.
30. method according to claim 28 is characterized in that, described laser is launched from excimer laser or YAG laser.
31. method according to claim 28 is characterized in that, described laser is rectangular on an irradiating surface.
32. method according to claim 28 is characterized in that, described heat treatment is carried out in hydrogeneous atmosphere.
33. method according to claim 28 is characterized in that, described gate insulating film comprises silicon oxide film.
CNB2006101011899A 1993-11-05 1994-07-02 Method for manufacturing film transistor and electronic device Expired - Fee Related CN100470740C (en)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
JP301172/93 1993-11-05
JP30117293A JP3165304B2 (en) 1992-12-04 1993-11-05 Semiconductor device manufacturing method and semiconductor processing apparatus
JP100642/94 1994-04-13

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
CNB981060641A Division CN100367461C (en) 1993-11-05 1994-07-02 Method of manufacturing thin film transistor and electronic device

Publications (2)

Publication Number Publication Date
CN1881550A CN1881550A (en) 2006-12-20
CN100470740C true CN100470740C (en) 2009-03-18

Family

ID=37519666

Family Applications (2)

Application Number Title Priority Date Filing Date
CNB2006101011901A Expired - Fee Related CN100543929C (en) 1993-11-05 1994-07-02 A kind of method of making thin-film transistor and electronic device
CNB2006101011899A Expired - Fee Related CN100470740C (en) 1993-11-05 1994-07-02 Method for manufacturing film transistor and electronic device

Family Applications Before (1)

Application Number Title Priority Date Filing Date
CNB2006101011901A Expired - Fee Related CN100543929C (en) 1993-11-05 1994-07-02 A kind of method of making thin-film transistor and electronic device

Country Status (1)

Country Link
CN (2) CN100543929C (en)

Families Citing this family (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN103021931B (en) * 2011-09-23 2015-09-23 北京泰龙电子技术有限公司 A kind of preparation method of metal nitride barrier layers
CN103137410B (en) * 2011-11-30 2016-09-28 中国科学院微电子研究所 Embedded implanter control system based on ARM
CN103165376B (en) * 2011-12-12 2016-09-14 中国科学院微电子研究所 A kind of plasma immersion implantation device
JP2014183099A (en) * 2013-03-18 2014-09-29 Sumitomo Heavy Ind Ltd Ion injecting device and film forming device

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4786616A (en) * 1987-06-12 1988-11-22 American Telephone And Telegraph Company Method for heteroepitaxial growth using multiple MBE chambers
US5043299A (en) * 1989-12-01 1991-08-27 Applied Materials, Inc. Process for selective deposition of tungsten on semiconductor wafer
US5194398A (en) * 1989-06-28 1993-03-16 Mitsui Toatsu Chemicals, Inc. Semiconductor film and process for its production

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4786616A (en) * 1987-06-12 1988-11-22 American Telephone And Telegraph Company Method for heteroepitaxial growth using multiple MBE chambers
US5194398A (en) * 1989-06-28 1993-03-16 Mitsui Toatsu Chemicals, Inc. Semiconductor film and process for its production
US5043299A (en) * 1989-12-01 1991-08-27 Applied Materials, Inc. Process for selective deposition of tungsten on semiconductor wafer
US5043299B1 (en) * 1989-12-01 1997-02-25 Applied Materials Inc Process for selective deposition of tungsten on semiconductor wafer

Also Published As

Publication number Publication date
CN100543929C (en) 2009-09-23
CN1881532A (en) 2006-12-20
CN1881550A (en) 2006-12-20

Similar Documents

Publication Publication Date Title
CN100367461C (en) Method of manufacturing thin film transistor and electronic device
US5804471A (en) Method of fabricating thin film transistor
KR100333153B1 (en) Process for fabricating semiconductor device
US5424244A (en) Process for laser processing and apparatus for use in the same
KR100309627B1 (en) Semiconductor device manufacturing method
CN100483651C (en) Process for fabricating semiconductor device
KR100348343B1 (en) Method of heat treatment and heat treatment apparatus for silicon oxide films
US7368367B2 (en) Method for forming a semiconductor
US6897100B2 (en) Method for processing semiconductor device apparatus for processing a semiconductor and apparatus for processing semiconductor device
US7097712B1 (en) Apparatus for processing a semiconductor
JP3165324B2 (en) Method for manufacturing semiconductor device
KR960008499B1 (en) Laser treatment method and laser treatment apparatus
CN100470740C (en) Method for manufacturing film transistor and electronic device
US5770486A (en) Method of forming a transistor with an LDD structure
JP4036278B2 (en) Ion doping equipment
JP3612009B2 (en) Method for manufacturing semiconductor device
JP3612018B2 (en) Method for manufacturing semiconductor device
JP2860894B2 (en) Semiconductor device manufacturing method
JP3859946B2 (en) Method for manufacturing semiconductor device
JP3612017B2 (en) Active matrix display device
JPH118195A (en) Manufacture of thin film transistor
JP4199166B2 (en) Method for manufacturing semiconductor device
CN100501949C (en) Production method for forming semiconductor device
JPH05102055A (en) Manufacture of semiconductor chip
JP2004006953A (en) Process for fabricating semiconductor device

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant
C17 Cessation of patent right
CF01 Termination of patent right due to non-payment of annual fee

Granted publication date: 20090318

Termination date: 20120702