CN100456310C - 执行集成电路布线的方法 - Google Patents

执行集成电路布线的方法 Download PDF

Info

Publication number
CN100456310C
CN100456310C CNB2006101446941A CN200610144694A CN100456310C CN 100456310 C CN100456310 C CN 100456310C CN B2006101446941 A CNB2006101446941 A CN B2006101446941A CN 200610144694 A CN200610144694 A CN 200610144694A CN 100456310 C CN100456310 C CN 100456310C
Authority
CN
China
Prior art keywords
line
width
layout
design
spacing
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
CNB2006101446941A
Other languages
English (en)
Other versions
CN1979507A (zh
Inventor
詹森·希伯勒
古斯塔沃·E.·特勒泽
约翰·M.·科恩
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Core Usa Second LLC
GlobalFoundries Inc
Original Assignee
International Business Machines Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by International Business Machines Corp filed Critical International Business Machines Corp
Publication of CN1979507A publication Critical patent/CN1979507A/zh
Application granted granted Critical
Publication of CN100456310C publication Critical patent/CN100456310C/zh
Expired - Fee Related legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/30Circuit design
    • G06F30/39Circuit design at the physical level
    • G06F30/394Routing

Abstract

这里的实施方式提出了用于执行用于设计的知晓产出的IC布线的方法、服务、计算机程序产品等。该方法执行满足线路拥塞约束的初始全局布线。接下来,该方法基于例如二次拥塞优化一层一层地对全局布线执行线散布与线加宽。然后,利用线散布与线加宽的结果对全局布线执行定时关闭。后布线线路宽度与线散布调节是利用临界区域产出模型进行的。此外,该方法允许对已布线的数据的优化。

Description

执行集成电路布线的方法
技术领域
这里的实施方式提出了执行用于设计的知晓产出的IC布线(rount)的方法、服务、计算机程序产品等。
背景技术
用于减小VLSI(超大规模集成)布局的线路(wiring)层中临界区域(并由此增加随机其缺陷产出)的传统方法在布线过程中或作为后布线步骤控制线间距,减少隔离的通路数并减小总的线长度。线散布(增加单独线之间的空间)减小了点缺陷落在线之间并产生短路的可能性;线长度的最小化减小了造成开路的点缺陷和造成短路的点缺陷的可能性;而冗余通路的插入减小了阻塞通路导致致命(产出减小)缺陷的可能性。
因此,影响随机缺陷开路的传统方式是通过减小总的线长度。在双波纹制造过程中,布线开路的影响范围比在前面的技术中显著得多。很明显,需要更有效的技术来减小开路的可能性。
发明内容
这里的实施方式提出了执行用于设计的知晓产出的IC布线的方法、服务、计算机程序产品等。该方法执行满足线路拥塞约束的初始全局布线。接下来,该方法对该全局布线根据例如二次拥塞优化一层一层地执行线散布和线加宽。然后,利用线散布和线加宽的结果对该全局布线执行定时关闭。然后,利用临界区域产出模型进行后布线线路宽度和线散布调节。
当进行后布线线路宽度调节时,该方法产生修改的线路宽度和线之间修改的间距。进行后布线线路宽度与长度的调节平衡了线宽度与线间距之间的折中。进行后布线线路宽度与长度的调节避免改变布线线路的相对位置。
因此,这里的实施方式设计集成电路设计中的初始线路布线,该设计建立线路布线的初始线路宽度及初始形状与位置。当调节初始布线宽度时,该方法产生修改的线路布线。在一种实施方式中,只有线路宽度被修改,而且修改后的线路布线维持线路布线的初始形状和相对位置。在可选实施方式中,该方法调节初始线路宽度并调节线路布线之间的间距,从而产生修改的线路布线。在这两种实施方式中,该方法都对修改的线路布线执行选择产生优化产出的最终线路布线的优化处理。
优化处理包括估计随机缺陷的可能性并根据随机缺陷的可能性计算随机缺陷产出。初始线路宽度的调节产生修改的线路宽度,而对随机缺陷可能性的估计基于修改的线路宽度。优化处理平衡线宽度与线间距之间的折中。调节与优化处理是对集成电路设计的不同层递增执行的。
为了正确地建立随机缺陷产出的模型,本发明使用1988年2月IEEE J.Solid-State Circuits上35卷3号158-166页上S.Gandemer、B.C.Tremintin与J.J.Charlot所写的“Critical area and critical levelscalculations in IC yield modeling”中临界区域分析(CAA)的概念。临界区域是VLSI布局对随机缺陷敏感性的数学量度。临界区域模型考虑:在不同电网中桥接布局形状的短路;切断形状从而产生电缺口的开路;及阻塞电流通过层间连接的通路阻塞。该公开内容中提出的线路优化技术处理短路和开路。
更具体而言,以下描述在实现定时关闭和信号完整性任务的同时为产出优化线宽度和间距的全局布线方法。在后布线优化步骤中,本发明导出与线宽度、长度及间距关联的成本函数并形成表示设计规则与连接性的约束。然后,在维持现有拓扑结构关系的同时(例如,通路可以在不需要对线重新布线的情况下移动),本发明进行优化。结果是一组具有调节的宽度与间距的线,使得设计的总临界区域减小。后布线优化步骤可以在没有全局布线解决方案的情况下自己使用。因此,不管用于对设计进行布线的布线技术是什么,它都允许某种产出优化。
本发明的这些及其它方面与目的将在联系以下描述与附图考虑时被更好地理解。但是,应当理解,虽然以下描述指示了本发明优选实施方式及其许多特定细节,但其是为了说明给出的,而不是限制。在不背离本发明主旨的情况下,可以在本发明的范围内进行许多改变和修改,而且本发明包括所有这些修改。
附图说明
本发明将通过以下具体描述并参考附图被更好地理解,其中:
图1是说明本发明优选方法的流程图;
图2是说明本发明优选方法的流程图;
图3是说明流问题的示意图;及
图4是并行线的示意图。
具体实施方式
本发明及其各种特征与优点细节是参考在附图中示出并在以下描述中具体描述的非限制性实施方式更完整地解释的。应当指出,附图中所示出的特征不一定是按比例绘制的。省略众所周知的组件与处理技术的描述,从而不会无谓地模糊本发明。在此所使用的例子仅仅是为了方便理解本发明通过其实践的方式并进一步使本领域技术人员能够实践本发明。因此,这些例子不应当认为是限制本发明的范围。
如上面所提到的,很清楚,需要更有效的技术来减小开路(无意中断开的电路)的可能性。这里的实施方式修改布局中的线宽度,以实现开路可能性的减小。尽管线宽度控制是自动化布线的标准部分,但是因为信号完整性而不是因为产出,布线器传统上具有拣选的线宽度。此外,因为混合宽度的线路布置通常会造成更难的布线问题,所以布线器倾向于避免使用宽线。总地来说,布线器将不会为增加产出而增加线的宽度。传统工具不会为产出优化执行后布线线宽度调节,因为以前主要焦点是集中在线散布和冗余通路的插入上。
处理开路与短路以便优化产出所必需的修改是相冲突的:通过散布线,间距增加且总的线长度可能增加;而通过加宽线,间距减小。本公开内容提出了考虑线宽度与线间距产出的折中的模型,然后该模型又用于开发进行调节线宽度和/或间距的产出优化的方法。
为了正确建立随机缺陷产出的模型,本发明使用在下面更具体描述的临界区域的概念。从根本上讲,本发明使用临界区域模型来引导线宽度与间距的调节。更具体而言,以下描述为产出而优化线宽度与间距的全局布线方法,同时实现定时关闭和信号完整性的任务。本发明是在理解具体布线器将遵循全局布线器所选择的基本布线路径、层与宽度的情况下进行的。在后布线优化步骤中,本发明导出与线宽度、长度和间距关联的成本函数并形成表示设计规则与连接性的约束。然后,在维持现有拓扑结构关系的同时(例如,通路可以在不需要对线重新布线的情况下移动),本发明进行优化。结果是一组具有调节的宽度与间距的线,使得设计的总临界区域减小。后布线优化步骤可以在没有全局布线解决方案的情况下自己使用。因此,不管用于对设计进行布线的布线技术是什么,它都允许某种产出优化。
更具体而言,参考附图,图1说明了本发明的一种实施方式。更具体而言,图1说明了其中优化已经布线的设计中的线路的宽度与间距的实施方式。更具体而言,图1说明了用于集成电路中初始线路布线设计的实施方式。在项100,该方法通过为满足拥塞约束、电压/电流需求和区域使用的线路布线执行初始(三维)具体布线开始。然后,在项102执行具体布线的产出驱动的间距与宽度调节。
在可选实施方式中,该方法调节初始线路宽度并调节线路布线之间的间距,以便产生修改的线路布线。一种这样的实施方式在图2中示出。更具体而言,在项200,该方法通过设计初始线路布线开始,其建立线路布线的初始线路宽度及初始形状与位置。然后,执行例如进行调节以便优化电压/电流需求、优化区域使用(项202)等的其它活动。在项204,本发明执行优化例如二次拥塞目标的基于流的一维散布。项206表示全局布线的定时关闭。然后,在项208,该方法调节初始线路宽度与线路间距。步骤208产生修改的线路布线。在这种实施方式中,只有线路宽度与线之间的间距被修改,而不改变线路布置形状或位置。换句话说,这种实施方式涉及在布线处理过程中优化产出的线宽度与线间距调节。然后,本发明对修改的线路布线执行选择产生优化产出的最终线路布线的产出驱动优化处理(项210)。
初始线路宽度的调节产生修改的线路宽度,而且在初始线路间距的调节中(项208)产生修改的线路间距。调节与优化处理可以对集成电路设计的不同层递增执行。因此,这里的实施方式提出了执行满足线路拥塞约束的初始全局布线(200)的方法。作为全局布线(200)的一部分,该方法根据例如二次拥塞优化对全局布线(一层一层地)执行线散布和线加宽。定时关闭(206)是利用线散布和线加宽的结果对全局布线执行的。后布线线路宽度与线散布(208)调节是利用基于临界区域产出模型的优化进行的。线路宽度与间距调节平衡线宽度与线间距之间的折中。
有很多种全局布线(200)的方法。用于全局布线的基本数学公式使用利用线性目标的基于多批量(multi-commodity)流的方法。这种公式可以利用图2所示的目标函数扩展。在图1所示的实施方式中,完整的初始全局布线是通过任何优选的方法执行的,然后优化产出对象,每次一层。图1所示的实施方式具有容易并行化并且不需要路径搜索的好处。
因此,例如,图2所示的实施方式可以使用基于图3所示简化的多批量流问题的规划(formulation)。这种规划可以对每个网Ni分配单个批量,问题是找到该批量在图3中的感兴趣的层上在整个该网的线间隔中的流。轨迹(track)定义为全局单元(cell)行。由于网可以在任何全局单元上布线,因此对于每个网Ni和全局单元Tk,创建流变量Fik。为了引导轨迹分配,对于每个网Ni,有关于每个轨迹Tk的布线成本,标记为Wik。优化的目标是:
最小化∑Aik*Fik+∑Sk*(∑Fik)2
选择线性权值Aik和二次权值Sk来最优折中要产出的线长度与间距的作用。二次项恶化每个轨迹的总拥塞,并直接造成拥塞的散布。这两个常量的值是从以下描述中示出的Fshorts和Fopens函数导出的。
将网Ni分配给轨迹Tk建模为[0,1]变量。因此,如果网Ni分配给轨迹Tk,则Fik=1,否则Fik=0。这引入约束:
C1)0<=Fik<=1,及
C2)Fik是整数
由于每次布线网的单个片断,因此每个网的数字流不能超过1。这对每个网Ni造成大规模平衡约束:
C3)对于每个网Ni,∑Fik=1
对于每个轨迹Tk,在每个槽Sj,只有分配给轨迹的网数不能超过轨迹的容量。这造成束(boundle)约束:
C4)对于每个槽Sj,每个轨迹Tk和每个具有宽度Wi的网Ni,0<=∑Wi*Fik<=Ck
总地来说,对于每个槽Sj,有一组Fik;而且这可以写成Fik(j)。因为网被约束成分配给单个轨迹,所以这种模型限制了解决方案。这种连续布线级的设计是要解决由全局路径提出的传统问题,然后联合优化用于产出的结果线路布置。线散布和线加宽都要加以考虑。以上讨论的用于线尺寸调节的后布线优化步骤可以多种不同方式实现。以下讨论优化的一种例子。利用基于压缩的布局优化器,该方法可以在布局中按一个方向扫描线路与阻塞形状。对于与这个方向垂直的线,宽度与空间将被修改;对于与这个扫描方向并行的线,长度将被修改。
然后,预定义的啮合点(jog point)可以在允许修改的线中建立。这些啮合点是将要插入错路线片断的地方。约束可以在边缘之间形成以表示基础(ground)规则。从理论上讲,应当在优化过程中执行间距与宽度规则。约束可以在边缘之间和布局对象(线、通路、引线)之间形成,以便维持电连接性。而且,代表方法需求的其它约束(冰封网、引线约束,等等)也可以形成。
对于与扫描方向垂直的每个线片断,该方法在边缘对之间形成目标,以便建立临界区域的模型。对于没有任何邻近线的单个线对40,给定的线路间隔(pitch)(p)、线的宽度(w)和间距(s)可以如图4所示设置。在图4中,令这两个线的临界区域的一次估计为C(s,w)。
于是,C(s,w)=KshortL/s+KopenL/w
=KshortL/(p-w)+KopenL/w
而且当W=p/[1+sqrt(Kshort/Kopen)]时C(s,w)最小化。
在这里,Kshort是表示用于所讨论层上线短路的缺陷密度的常量,而Kopen是该层上线开路的缺陷密度。这些常量是特定于制造该设计的制造处理的。对于两个固定对象之间的一组并行线,类似的等式可以对宽度和空间导出。为了建立与线长度关联的临界区域的模型,可以使用简单的线性函数。
利用加权因子和以上描述并优化的约束组合所有的成本函数。成本函数与约束都是分段线性函数,因此可以使用分段线性约束的优化例程。可选地,成本函数与约束可以简化为线性形式,因此可以使用标准的线性编程包。然后,处理可以在垂直方向重复。
关于以上提到的全局布线过程中随机缺陷产出的建模,这部分公开内容描述将引导全局布线算法的成本函数与方法。这种目标将引导算法找到本地最优产出的路径。然后,这些目标将用于全局布线中散布线的流程算法。
这种目标的原理是基于临界区域的估计。临界区域是用于估计集成电路(IC)布局中随机缺陷可能性的众所周知的度量。
临界区域分析可以用于如下估计布局产出:
1.计算由于形状发生错误或由于某种错误机制造成的形状之间发生错误的可能性造成的错误的可能性。
2.用错误缺陷密度加工(tab)常量乘以错误概率,产生错误估计。错误量与产出的对数成比例。这个量也称为平均错误。
3.由于一组宽度为W、并行运行长度为L且间距为S的并行线间的短路造成的平均错误是:
F short = ( K short ) ( L ) ( 1 S - 1 2 S + W )
4.由于同一组线的开路造成的平均错误是:
F open = ( K open ) ( L ) ( 1 W - 1 2 W + S )
5.由于缺少/阻塞通路造成的平均错误可以通过每条通路的常量进行近似。依赖于通路是否冗余,即是否构建成具有多个“途径(cut)”,这个常量可能更小。
6.总的平均错误是由于所有缺陷机制造成的错误的总和。
7.产出估计可以利用关于总错误的负二项式近似等式获得:
Y = ( Y 0 ) ( 1 + F total α ) - α
总错误度量,Ftotal,是产出预测值,而且已经发现在布局优化中是有用的。这个函数可以简化,以简化其使用与计算,使得总错误度量仅仅是线宽度(W)和长度(L)、相邻线的普通运行长度(Lc)和相邻线的间距(S)的函数。注意,在该函数中,Lc和L是一样的。结果产生的Ftotal函数是:
F total = K short Σ L S + K open Σ L W + K via N via
常量Kvia是不能正确制造单(即,非冗余)通路的概率;而Nvia是所考虑的线路中单通路的个数。此外,当优化时,总错误度量提供了线长度与邻近度减少之间的平衡,因此对于电容减少是一种简单的一次度量。在电容减少的应用中,为了补偿上和下电容,通过测量上和下布线平面中线路布置的平均密度,更精确的模型将调节常量K。进一步的调节可以是横向电容分量可以与1/S的某次幂成比例,而且如果S足够大则它可以成为零。
为了噪声减少和目标电容减少,通过基于网邻近度信息调节K常量,Ftotal函数可以修改成只包括“坏”电容的分量。由于电容减少通常总是减少噪声并提高性能,因此,除了增加制造产出,在此所描述的技术还具有改善性能的副作用。
以下描述对基于片段(tile)的布线器的扩展,该布线器将允许找到最小化Ftotal度量的路径。
基本全局布线算法包括以下步骤:
1.将布线区域分成片段(全局布线单元,或“gcell”),
2.计算gcell中及其之间的布线容量,
3.在满足容量约束的同时,通过gcell布线网,
4.布线器目标典型地是最小化线长度与通路数。
结果产生的全局布线具有一个特征:由于gcell抽象化芯片的任意大区域,因此全局布线器不能直接考虑阻塞和网到网阻塞的问题。相反,它集中在最合理路径的寻找。为此,全局布线是已知为最快的处理。
这部分剩余的内容描述对用于优化错误(Ftotal)度量的全局布线问题的扩展。错误目标的开路部分被看作等同于线长度与通路数最小化目标。但是,短路目标没有很好地表示。以下模型结合了产出目标的这一部分。
产出目标的短路部分是普通运行长度L和线之间的间距S的函数。普通运行长度L在全局布线中可以估计为线的线长度。线之间的间距可以象最小清除规则一样小。如果所有线都以最小间距挤在一起,则短路产出目标与线长度成比例,因此线长度全局布线就足够了。但是,最大线间距是线被布线的区域拥塞的函数。给定宽度为Wg的gcell中宽度为w的n条线,这些线之间的最小空间(Smax)是:
S max = W g - nw n + 1
因此,由在这个gcell中布线的所有线引起的最小错误是:
F short ( gcell ) = K short Σ n L S max = K short W g ( n + 1 ) n W g - nw
为了比较,由于布线n个网通过gcell时的开路造成的错误成本是:
F open ( gcell ) = K open Σ n L w = K open W g n w
那么,完整的基于错误的目标将是:
Ftotal(gcell)=Fshort(gcell)+Fopen(gcell).
不象线性成本,利用错误度量布线通过gcell的成本现在依赖于有多少布线已经在gcell中。这种目标的优点是它包括最初的线性成本,因此通过调节Kshort和Kopen,调节全局级线散布与线长度的折中是可能的。这种成本的另一特征是它从本质上恶化过度拥塞:应当指出,在nw=Wg时目标变成无穷大,这是gcell中线宽度的总和等于gcell宽度的地方。
为了正确地建立随机缺陷产出的模型,本发明使用临界区域的概念。从根本上讲,本发明执行基于临界区域模型的优化,以便在布线前后调节线宽度与空间。更具体而言,以下描述为产出优化线宽度与间距而同时实现定时关闭和信号完整性的任务的全局布线方法。在后布线优化步骤中,本发明导出与线宽度、长度及间距关联的成本函数并形成表示设计规则与连接性的约束。然后,在维持现有拓扑结构关系的同时(例如,通路可以在不需要重新对线进行布线的情况下移动),本发明进行优化。结果是一组具有调节的宽度与间距的线,使得设计的总临界区域得以优化。后布线优化步骤可以在没有全局布线解决方案的情况下自己使用。因此,不管可能用于对设计布线的布线技术是什么,它都允许某种产出优化。
本发明将制造产出知晓集成到全局布线步骤中,以允许布线设置成使线路短路与开路减少。本发明允许设计中已经布线的线路对随机缺陷短路与开路进行优化。在这两种情况下,本发明允许在布线过程中或布线完成后进行对短路的敏感性和对开路的敏感性之间的显式折中。
尽管本发明已经关于优选实施方式进行了描述,但本领域技术人员将认识到在所附权利要求的主旨与范围内本发明可以有修改地实践。

Claims (14)

1、一种执行用于设计的集成电路布线的方法,包括:
执行满足线路拥塞约束的初始全局布线,以便产生全局布线;
对所述全局布线基于二次拥塞优化一层一层地执行线散布与线加宽;
根据所述线散布与线加宽的结果对所述全局布线执行定时关闭;及
基于临界区域模型执行优化以进行后布线线路宽度与线散布调节。
2、如权利要求1所述的方法,其中所述初始全局布线包括三维布线处理。
3、如权利要求1所述的方法,其中所述进行所述后布线线路宽度与线散布调节的动作产生修改的线路宽度和线之间修改的间距,及
其中所述方法还包括基于所述修改的线路宽度和所述线之间修改的间距计算随机缺陷的可能性。
4、如权利要求1所述的方法,其中所述进行所述后布线线路宽度与线散布调节的动作平衡线宽度与线间距之间的折中。
5、如权利要求1所述的方法,其中所述进行所述后布线线路宽度与线散布调节的动作避免改变所述线路布线的形状与相对位置。
6、一种执行集成电路布线的方法,包括:
设计集成电路设计中的初始线路布线,其中所述设计建立所述线路布线的初始线路宽度和间距,及进一步建立初始形状与位置;
调节所述初始线路宽度和间距,以便产生减少所述集成电路设计的临界区域的修改的线路布线,其中所述修改的线路布线维持所述线路布线的所述初始形状与位置;及
对所述修改的线路布线执行选择产生优化产出的最终线路布线的优化处理。
7、如权利要求6所述的方法,其中所述调节所述初始线路宽度和间距的动作产生修改的线路宽度和间距。
8、如权利要求6所述的方法,其中所述优化处理平衡线宽度与线间距之间的折中。
9、如权利要求6所述的方法,其中所述调节与所述优化处理是对所述集成电路设计的不同层递增执行的。
10、一种执行集成电路布线的方法,包括:
设计集成电路设计中的初始线路布线,其中所述设计建立所述线路布线的初始线路宽度和间距,及进一步建立初始形状与位置;
在所述初始线路布线上执行定时关闭;
基于所述初始线路布线对所述集成电路设计的临界区域建立模型;
调节所述初始线路宽度和间距,以便产生减少所述集成电路设计的临界区域的修改的线路布线,其中所述修改的线路布线维持所述线路布线的所述初始形状与位置;及
对所述修改的线路布线执行优化处理,其基于由所述修改的线路布线减少的所述集成电路设计的临界区域估计随机缺陷的可能性并选择产生优化产出的最终线路布线。
11、如权利要求10所述的方法,其中所述初始线路布线包括三维布线处理。
12、如权利要求11所述的方法,其中所述调节所述初始线路宽度和间距的动作产生修改的线路宽度与修改的线路间距。
13、如权利要求10所述的方法,其中所述优化处理平衡线宽度与线间距之间的折中。
14、如权利要求10所述的方法,其中所述调节与所述优化处理是对所述集成电路设计的不同层递增执行的。
CNB2006101446941A 2005-12-08 2006-11-14 执行集成电路布线的方法 Expired - Fee Related CN100456310C (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US11/275,076 US7657859B2 (en) 2005-12-08 2005-12-08 Method for IC wiring yield optimization, including wire widening during and after routing
US11/275,076 2005-12-08

Publications (2)

Publication Number Publication Date
CN1979507A CN1979507A (zh) 2007-06-13
CN100456310C true CN100456310C (zh) 2009-01-28

Family

ID=38130670

Family Applications (1)

Application Number Title Priority Date Filing Date
CNB2006101446941A Expired - Fee Related CN100456310C (zh) 2005-12-08 2006-11-14 执行集成电路布线的方法

Country Status (3)

Country Link
US (2) US7657859B2 (zh)
JP (1) JP5208404B2 (zh)
CN (1) CN100456310C (zh)

Families Citing this family (31)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7496874B2 (en) * 2005-12-21 2009-02-24 Inetrnational Business Machines Corporation Semiconductor yield estimation
EP1873663A1 (en) * 2006-06-27 2008-01-02 Takumi Technology Corporation Method for optimizing an integrated circuit physical layout
US7721235B1 (en) * 2006-06-28 2010-05-18 Cadence Design Systems, Inc. Method and system for implementing edge optimization on an integrated circuit design
US8799831B2 (en) * 2007-05-24 2014-08-05 Applied Materials, Inc. Inline defect analysis for sampling and SPC
US8924904B2 (en) * 2007-05-24 2014-12-30 Applied Materials, Inc. Method and apparatus for determining factors for design consideration in yield analysis
US7689964B2 (en) * 2007-12-19 2010-03-30 Suvolta, Inc. System and method for routing connections
US7895545B2 (en) * 2008-04-15 2011-02-22 International Business Machines Corporation Methods for designing a product chip a priori for design subsetting, feature analysis, and yield learning
US8001514B2 (en) * 2008-04-23 2011-08-16 Synopsys, Inc. Method and apparatus for computing a detailed routability estimation
JP5309835B2 (ja) * 2008-09-24 2013-10-09 富士通株式会社 配線情報生成装置、方法、及びプログラム
US20100100856A1 (en) * 2008-10-17 2010-04-22 Anurag Mittal Automated optimization of an integrated circuit layout using cost functions associated with circuit performance characteristics
US20100257503A1 (en) * 2009-04-02 2010-10-07 International Business Machines Corporation Post-routing coupling fixes for integrated circuits
CN102054067B (zh) * 2009-10-30 2015-05-27 新思科技有限公司 降低随机良率缺陷的方法及系统
US8418113B1 (en) 2011-10-03 2013-04-09 International Business Machines Corporation Consideration of local routing and pin access during VLSI global routing
US9524363B2 (en) * 2012-05-31 2016-12-20 Globalfoundries Inc. Element placement in circuit design based on preferred location
CN102867095B (zh) * 2012-09-20 2015-03-04 清华大学 一种总线布线方法
US8863058B2 (en) 2012-09-24 2014-10-14 Atrenta, Inc. Characterization based buffering and sizing for system performance optimization
US8893073B2 (en) 2012-12-27 2014-11-18 Synopsys, Inc. Displaying a congestion indicator for a channel in a circuit design layout
US8819605B1 (en) * 2013-03-06 2014-08-26 Oracle International Corporation Deriving effective corners for complex correlations
US8949762B1 (en) 2013-10-22 2015-02-03 International Business Machines Corporation Computer-based modeling of integrated circuit congestion and wire distribution for products and services
CN104239600B (zh) * 2014-07-08 2017-05-03 领佰思自动化科技(上海)有限公司 基于多商品流的大规模集成电路详细布线方法
US9384316B2 (en) 2014-07-09 2016-07-05 International Business Machines Corporation Path-based congestion reduction in integrated circuit routing
US9564394B1 (en) * 2014-11-18 2017-02-07 Altera Corporation Methods and apparatus for reducing spatial overlap between routing wires
US9760669B2 (en) * 2015-11-11 2017-09-12 International Business Machines Corporation Congestion mitigation by wire ordering
US9922158B2 (en) 2016-06-03 2018-03-20 International Business Machines Corporation Use of net-based target congestion ratios in global routing
US10120970B2 (en) * 2016-06-14 2018-11-06 International Business Machines Corporation Global routing framework of integrated circuit based on localized routing optimization
US10372866B2 (en) 2017-02-13 2019-08-06 International Business Machines Corporation Data processing system to implement wiring/silicon blockages via parameterized cells
CN107784179B (zh) * 2017-11-13 2022-01-04 嘉兴倚韦电子科技有限公司 集成电路半定制后端设计布线和优化方法
EP3522044B1 (en) 2018-01-31 2021-09-01 Nxp B.V. Method of designing an integrated circuit
US10565347B2 (en) 2018-03-29 2020-02-18 International Business Machines Corporation Global routing optimization
CN109558667B (zh) * 2018-11-23 2023-07-14 珠海一微半导体股份有限公司 一种基于布线阻塞的优化方法
CN112883682B (zh) * 2021-03-15 2022-04-29 北京华大九天科技股份有限公司 集成电路的总体布线方法及设备和存储介质

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6202196B1 (en) * 1998-02-03 2001-03-13 Lsi Logic Corporation Method for optimizing routing mesh segment width
CN1416082A (zh) * 2002-12-17 2003-05-07 清华大学 考虑耦合效应进行时延优化的标准单元总体布线方法
US20040243953A1 (en) * 2003-05-30 2004-12-02 Champaka Ramachandran Method and apparatus for automated circuit design
US6865721B1 (en) * 2000-11-15 2005-03-08 Reshape, Inc. Optimization of the top level in abutted-pin hierarchical physical design
CN1696939A (zh) * 2004-05-15 2005-11-16 鸿富锦精密工业(深圳)有限公司 印刷电路板的布线距离验证系统及方法

Family Cites Families (18)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH0316241A (ja) * 1989-06-14 1991-01-24 Hitachi Ltd 半導体装置及びその配線の自動形成方法
JP3193167B2 (ja) * 1992-12-11 2001-07-30 株式会社東芝 論理合成システム
US6305004B1 (en) 1999-08-31 2001-10-16 International Business Machines Corporation Method for improving wiring related yield and capacitance properties of integrated circuits by maze-routing
US6415422B1 (en) * 1999-09-17 2002-07-02 International Business Machines Corporation Method and system for performing capacitance estimations on an integrated circuit design routed by a global routing tool
US7114124B2 (en) * 2000-02-28 2006-09-26 Xerox Corporation Method and system for information retrieval from query evaluations of very large full-text databases
JP2002259485A (ja) 2001-03-02 2002-09-13 Fujitsu Ltd Lsi設計工程におけるlcr抽出方法及びlcr抽出を行うコンピュータプログラム
JP4953526B2 (ja) 2001-07-27 2012-06-13 富士通セミコンダクター株式会社 タイミング優先でセル配置するlsiのレイアウト方法及びその装置
US6618846B2 (en) 2001-08-31 2003-09-09 Synopsys, Inc. Estimating capacitance effects in integrated circuits using congestion estimations
WO2003036549A1 (en) * 2001-10-25 2003-05-01 Kla-Tencor Technologies Corporation Apparatus and methods for managing reliability of semiconductor devices
US6892371B1 (en) 2002-01-22 2005-05-10 Cadence Design Systems, Inc. Method and apparatus for performing geometric routing
JP4219150B2 (ja) * 2002-10-10 2009-02-04 パナソニック株式会社 半導体集積回路設計方法および半導体集積回路
US6934924B2 (en) * 2003-01-31 2005-08-23 Terachip Inc. Layout methodology and system for automated place and route
JP2004287681A (ja) 2003-03-20 2004-10-14 Hitachi Ltd 配線設計支援システム、および、配線設計支援方法
US6958545B2 (en) * 2004-01-12 2005-10-25 International Business Machines Corporation Method for reducing wiring congestion in a VLSI chip design
US7200829B2 (en) * 2004-06-24 2007-04-03 International Business Machines Corporation I/O circuit power routing system and method
US7064043B1 (en) * 2004-12-09 2006-06-20 Texas Instruments Incorporated Wafer bonded MOS decoupling capacitor
US7415687B2 (en) * 2005-10-05 2008-08-19 Lsi Corporation Method and computer program for incremental placement and routing with nested shells
US7386815B2 (en) * 2005-10-27 2008-06-10 International Business Machines Corporation Test yield estimate for semiconductor products created from a library

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6202196B1 (en) * 1998-02-03 2001-03-13 Lsi Logic Corporation Method for optimizing routing mesh segment width
US6865721B1 (en) * 2000-11-15 2005-03-08 Reshape, Inc. Optimization of the top level in abutted-pin hierarchical physical design
CN1416082A (zh) * 2002-12-17 2003-05-07 清华大学 考虑耦合效应进行时延优化的标准单元总体布线方法
US20040243953A1 (en) * 2003-05-30 2004-12-02 Champaka Ramachandran Method and apparatus for automated circuit design
CN1696939A (zh) * 2004-05-15 2005-11-16 鸿富锦精密工业(深圳)有限公司 印刷电路板的布线距离验证系统及方法

Also Published As

Publication number Publication date
US7657859B2 (en) 2010-02-02
JP5208404B2 (ja) 2013-06-12
US20100023913A1 (en) 2010-01-28
US20070136714A1 (en) 2007-06-14
US8230378B2 (en) 2012-07-24
JP2007158340A (ja) 2007-06-21
CN1979507A (zh) 2007-06-13

Similar Documents

Publication Publication Date Title
CN100456310C (zh) 执行集成电路布线的方法
US6543043B1 (en) Inter-region constraint-based router for use in electronic design automation
US20030005398A1 (en) Timing-driven global placement based on geometry-aware timing budgets
CN110032808B (zh) 基于混合离散粒子群优化的轨道分配器构建方法
Alpert et al. The importance of routing congestion analysis
Cheng et al. Design and system technology co-optimization sensitivity prediction for VLSI technology development using machine learning
Aghaeekiasaraee et al. Cr&p: An efficient co-operation between routing and placement
Radhakrishnan Nair et al. An efficient partitioning and placement based fault TSV detection in 3D-IC using deep learning approach
CN112347732A (zh) 一种集成电路分层走线规划方法、装置、存储介质及设备
US20230282635A1 (en) Integrated circuit with non-preferred direction curvilinear wiring
Zhu et al. Timing-driven routing for symmetrical-array-based FPGAs
Kao et al. Cross point assignment with global rerouting for general-architecture designs
US9449137B2 (en) Buffered conduits for high throughput channel implementation, crosstalk de-sensitization and late timing fixes on skew sensitive buses
Hanchate et al. A linear time algorithm for wire sizing with simultaneous optimization of interconnect delay and crosstalk noise
Lee et al. A global router for sea-of-gates circuits
Fontana et al. ILPGRC: ILP-Based Global Routing Optimization With Cell Movements
JP3548398B2 (ja) 概略経路決定方法および概略経路決定方式
US20230274068A1 (en) Performing non-preferred direction detailed routing followed by preferred direction global and detailed routing
Saeedi et al. Evaluation, prediction and reduction of routing congestion
Pal et al. Algorithms for high performance two-layer channel routing
Chow et al. Fence-aware detailed-routability driven placement
Wu Layout optimization in ultra deep submicron VLSI design
He Detailed-routability-driven and timing-driven scalable parallel global routing
Dell'Atti Integrated circuit Back-End of line analysis and modeling for future node pathfinding
Téllez et al. Routing

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant
TR01 Transfer of patent right

Effective date of registration: 20171204

Address after: Grand Cayman, Cayman Islands

Patentee after: GLOBALFOUNDRIES INC.

Address before: American New York

Patentee before: Core USA second LLC

Effective date of registration: 20171204

Address after: American New York

Patentee after: Core USA second LLC

Address before: American New York

Patentee before: International Business Machines Corp.

TR01 Transfer of patent right
CF01 Termination of patent right due to non-payment of annual fee

Granted publication date: 20090128

Termination date: 20191114

CF01 Termination of patent right due to non-payment of annual fee