CN100353520C - Method for making dual inlay structure and removing its remnant polymer - Google Patents

Method for making dual inlay structure and removing its remnant polymer Download PDF

Info

Publication number
CN100353520C
CN100353520C CNB2005100036574A CN200510003657A CN100353520C CN 100353520 C CN100353520 C CN 100353520C CN B2005100036574 A CNB2005100036574 A CN B2005100036574A CN 200510003657 A CN200510003657 A CN 200510003657A CN 100353520 C CN100353520 C CN 100353520C
Authority
CN
China
Prior art keywords
layer
etch process
etching
dielectric constant
dry type
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
CNB2005100036574A
Other languages
Chinese (zh)
Other versions
CN1801474A (en
Inventor
王镇和
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
United Microelectronics Corp
Original Assignee
United Microelectronics Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by United Microelectronics Corp filed Critical United Microelectronics Corp
Priority to CNB2005100036574A priority Critical patent/CN100353520C/en
Publication of CN1801474A publication Critical patent/CN1801474A/en
Application granted granted Critical
Publication of CN100353520C publication Critical patent/CN100353520C/en
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Landscapes

  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Abstract

The present invention provides a method for manufacturing a dual-mosaic structure and removing the residual polymer of the dual-mosaic structure to solve the existing problem that the residual polymer can not be effectively removed in the wet cleaning mode when the dual-mosaic structure is manufactured. After part through holes of the dual-mosaic structure are etched by the method, a photoresist layer which defines the through holes are removed first; then, the through holes are etched continuously until a lower conductive layer is exposed, and immediately, a dry cleaning process is carried out in the same reaction chamber to clear the residual polymer which is produced on the occasion of etching.

Description

The method of making dual-damascene structure and removing its residual polymer
Technical field
The invention provides a kind of method of making dual-damascene structure, refer to a kind of manufacture method that can effectively remove the dual-damascene structure of the residual polymer that in etching process, produces especially.
Background technology
Copper dual-damascene (dual damascene) technology collocation low-k (low-k) dielectric layer be present known to high integration, the best metal intraconnections solution of (high-speed) logical integrated circuit chip manufacturing and 0.18 micron following semiconductor technology at a high speed.Its reason is that copper has low-resistance value (than aluminium low 30%) and preferably deelectric transferred characteristics such as (electromigration resistance), and the RC that the dielectric layer material with low-k can help to reduce between the plain conductor postpones (RC delay), hence one can see that, and the dielectric layer material collocation copper metal double-insert intraconnections technology with low-k seems in integrated circuit technology and becomes more and more important.Wherein, dielectric layer material with low-k includes fluorinated silicon dioxide (fluorinated silica glass, FSG), silicone glass (organosilicate, OSG) and ultralow dielectric (Ultra low-k, ULK) material (k<2.5) etc.
General technology of making dual-damascene structure includes dual-damascene technics that forms irrigation canals and ditches (trench-first) earlier or the dual-damascene technics that forms through hole (via-first) earlier.Please refer to Fig. 1 to Fig. 5, Fig. 1 to Fig. 5 shows existing generalized section of making the technology of a dual-damascene structure in the mode that forms irrigation canals and ditches earlier.As shown in Figure 1, at first provide a substrate 12, have a conductive layer 14 on it, and on conductive layer 14, have a bottom 16 that is constituted by silicon nitride (silicon nitride).Then, (wherein, etching stopping layer 20 is formed by silicon nitride material for anti-reflective coating, ARC) layer 22 to form one first dielectric layer 18, an etching stopping layer 20, one second dielectric layer 21 and an antireflection in regular turn on bottom 16.Then on anti-reflecting layer 22, form one first photoresist layer 24, and cooperate photomask in the first photoresist layer 24, to define ditch channel opening 26 with wire pattern with lithography process in spin coating (spin-coating) mode.
Please refer to shown in Figure 2ly, carry out one first etch process then, see through the ditch channel opening 26 downward etchings that are defined in the first photoresist layer 24, formation one irrigation canals and ditches pattern 28 in second dielectric layer 21, and make etching stop at etching stopping layer 20.Then, the first photoresist layer 24 and anti-reflecting layer 22 are removed.Then as shown in Figure 3, form a flatness layer 30 comprehensively, simultaneously, in irrigation canals and ditches pattern 28, also insert the material of flatness layer 30.Then, on flatness layer 30, form one second photoresist layer 32.In the second photoresist layer 32, utilize lithography process definition via openings 34 then.
Continuing, as shown in Figure 4, is etching mask with the second photoresist layer 32, stops until bottom 16 through via openings 34 downward etching flatness layers 30, etching stopping layer 20, first dielectric layer 18, forms through hole 36.Subsequently as shown in Figure 5, remove the remaining second photoresist layer 32 and flatness layer 30, etching bottom 16 again, have the dual-damascene structure 38 of irrigation canals and ditches and through hole with formation.
Yet when carrying out above-mentioned etch process, tend to supervene sidewall and the bottom that many polymer 40 remain in dual-damascene structure 38, therefore if directly in dual-damascene structure 38, insert metal conducting layer 42 to make plain conductor and conductive plunger, the situation that then can the value of having a resistance increases.The solution of prior art is that substrate 12 is transferred in the wet type washing device, and it is carried out a wet-cleaned technology.But, when dual-damascene structure in conjunction with as advanced low-k materials such as OSG and when utilizing carbofrax material as etching stopping layer, the residual polyalcohol that it produced, the polymer that for example has carbon fluorine atom bond can't use existing wet-cleaned technology effectively to remove.
On the other hand, formerly form in the dual-damascene structure technology of through hole, earlier define through-hole pattern with one first photoresist layer, run through being etched to the bottom conductive layer, wait to remove the first photoresist layer after, form one second photoresist layer again, define lead irrigation canals and ditches pattern, and be etching stopping layer with the silicon carbide layer in the mask layer, carry out the etching of irrigation canals and ditches structure, to finish dual-damascene structure.In the technology of this kind dual-damascene structure, also can cause flaws such as resistance value raising and RC late effect at dielectric layer sidewall and via bottoms residual polyalcohol.Similarly, the traditional wet cleaning way is when the residual polyalcohol that the dielectric layer that is formed by advanced low-k materials in the face of etching and carborundum etching stopping layer are produced, and still the problem of polymer can't be effectively removed in generation.
At United States Patent (USP) the 6th, 713, in No. 402 " removing the method (Method for polymer removal following etch-stop layer etch) of the post etch polymer of etching stopping layer ", though disclose after the dual-damascene structure etching is finished, Semiconductor substrate is sent in the plasma purge chamber, feeds hydrogeneous plasma to remove residual polymer.Yet, remove the polymer that is produced behind the etching dielectric layer as easier and effective and efficient manner how, and can in removing, not destroy dual-damascene structure, remain the problem that industry demands studying improvement urgently.
Summary of the invention
Therefore main purpose of the present invention is to provide a kind of method of making dual-damascene structure and removing its residual polyalcohol, to solve the above-mentioned problem that can't effectively remove residual polyalcohol when making dual-damascene structure in the wet-cleaned mode that has now.
According to claim of the present invention, a kind of method of making one dual-damascene structure is disclosed.Semi-conductive substrate at first is provided, includes a conductive layer on this Semiconductor substrate.Form a dielectric layer, a hard mask layer, one first antireflection bottom and one first photoresist layer then on Semiconductor substrate in regular turn, wherein the first photoresist layer has a ditch channel opening, exposes the partly first antireflection bottom.Carry out one first etch process then, see through ditch channel opening etching first antireflection bottom and the hard mask layer, etch irrigation canals and ditches depression, remove the first photoresist layer and the first antireflection bottom again in hard mask layer.Then, on Semiconductor substrate, form one second antireflection bottom and one second photoresist layer in regular turn, wherein the second antireflection bottom is inserted in this irrigation canals and ditches depression, and the second photoresist layer has a via openings, exposes the partly second antireflection bottom.Carry out one second etch process subsequently, see through partly dielectric layer of the via openings eating thrown second antireflection bottom, hard mask layer and etching, in dielectric layer, to etch through hole depression.Remove the second photoresist layer and the second antireflection bottom then, and carry out one the 3rd etch process,,, form a dual-damascene structure until exposing conductive layer via through hole depression and irrigation canals and ditches recess etch dielectric layer.Carry out a dry type cleaning at last, remove the residual polyalcohol that above-mentioned steps produced, wherein the 3rd etch process and dry type cleaning are finished in same reative cell continuously.
Because the inventive method is carried out second etch process continuously, is removed the second photoresist layer, the 3rd etch process and dry type cleaning in same reative cell, so can be at lower cost and simple process, effectively remove residual polyalcohol because of repeatedly etching produced.And the inventive method feeds gas in carrying out the same reative cell of etch process, is preferably hydrogeneous gas, to change the composition of residual polyalcohol, forms the material that is easier to remove.
Description of drawings
Fig. 1 to Fig. 5 is existing generalized section of making the technology of a dual-damascene structure;
Fig. 6 to Figure 11 makes the generalized section of the technology of dual-damascene structure for the present invention.
Description of reference numerals
12 substrates, 14 conductive layers
16 bottoms, 18 first dielectric layers
20 etching stopping layers, 21 second dielectric layers
22 anti-reflecting layers, 24 first photoresist layers
26 ditch channel openings, 28 irrigation canals and ditches patterns
30 flatness layers, 32 second photoresist layers
34 via openings, 36 through holes
38 dual-damascene structures, 40 polymer
42 metal conducting layers, 100 substrates
102 conductive layers, 104 first dielectric layers
105 bottoms, 106 second dielectric layers
108 etching stopping layers, 110 metal levels
112 mask layers, 116 first antireflection bottoms
118 first photoresist layers, 120 ditch channel opening
122 irrigation canals and ditches, the 124 second antireflection bottoms that cave in
126 second photoresist layers, 128 via openings
130 through holes, 132 dual-damascene structures that cave in
133 polymer, 134 dry type cleanings
136 metal conducting layers
Embodiment
Please refer to Fig. 6 to Figure 11, Fig. 6 to Figure 11 adopts the mode that forms irrigation canals and ditches earlier to make dual-damascene structure for the generalized section that the present invention makes the technology of dual-damascene structure in this example.As shown in Figure 6, at first provide semi-conductive substrate 100, have a conductive layer 102 on it, be located in one first dielectric layer 104.Then, on first dielectric layer 104 and conductive layer 102, form a bottom 105, one second dielectric layer 106, an etching stopping layer 108, a metal level 110, a mask layer 112 and one first antireflection bottom 116 in regular turn.Wherein, etching stopping layer 108, metal level 110 and mask layer 112 are used as the hard mask layer 114 of subsequent etch technology, and the material of etching stopping layer 108 is preferably carborundum, the material of metal level 110 is preferably titanium nitride (TiN) or tantalum nitride (TaN), the plasma that 112 of mask layers can be selected to form with plasma strengthens oxidation (plasma enhanced oxide, PEOX) layer.In addition, bottom 105 is silicon nitride layers, and the material of second dielectric layer 106 is advanced low-k materials (k≤2.9), for example FSG, OSG or ULK (k<2.5) material.Then, deposition one first photoresist layer 118 on the first antireflection bottom 116, and carry out a lithography process, in the first photoresist layer 118, define ditch channel opening 120 with wire pattern.
Then as shown in Figure 7, carry out one first etch process, see through ditch channel opening 120 etching mask layers 112, metal level 110 and etching stopping layer 108 in the first photoresist layer 118, in hard mask layer 114, form irrigation canals and ditches depression 122, and make etching stop at etching stopping layer 108.Then, the first photoresist layer 118 and the first antireflection bottom 116 are removed.Then, as shown in Figure 8, on hard mask layer 114, form one second antireflection bottom 124, and in irrigation canals and ditches depression 122, insert the second antireflection bottom 124, on the second antireflection bottom 124, form one second photoresist layer 126 then, utilize lithography process on the second photoresist layer 126, to define the via openings 128 of the through-hole pattern of metal interconnecting again.As shown in Figure 9, be that etching mask carries out one second etch process then with the second photoresist layer 126, see through the via openings 128 downward etching second antireflection bottoms 124, etching stopping layer 108, be etched to second dielectric layer 106 partly stops always, form through hole depression 130, form " partly (partial) " via openings.So be called " part " via openings is because etched through hole does not pass whole layer second dielectric layer at this moment.
Subsequently as shown in figure 10, aerating oxygen is to remove the second remaining photoresist layer 126 and the second antireflection bottom 124.Then, utilize etching stopping layer 108 as etching mask again, carry out one the 3rd etch process, a reactive ion etching (reactive ion etching for example, RIE) technology, see through through hole depression 130 and irrigation canals and ditches cave in 122 etchings, second dielectric layer 106 and bottom 105, until exposing conductive layer 102, in second dielectric layer 106, to form dual-damascene structure 132 with lead irrigation canals and ditches and through hole.At this moment, because the material of second dielectric layer 106 is an advanced low-k materials, therefore when etching, can produces polymer 133 and residue in the dual-damascene structure 132 with carbon-fluorine bond knot (C-F).On the other hand, the metallic hard mask layer 114 of etching also may produce the difficult metal derivative of removing.So the inventive method after etch process for the third time immediately in same reative cell feeding contain hydrogen (hydrogen), oxygen or carbon tetrafluoride (CF 4) gas, be preferably the gas of hydrogen, so that two irrigation canals and ditches structures 132 are carried out a dry type cleaning 134.Wherein, dry type cleaning 134 can be utilized as argon gas inert gases such as (argon) or nitrogen (nitrogen) and follow hydrogen together to feed in the reative cell.The hydrogen that feeds in the reative cell can produce hydroperoxyl radical (H *), can replace fluorine atom, produce the hydrocarbon bond (C-H) that is easier to remove.In addition, when nitrogen followed hydrogen to feed reative cell, nitrogen can clash into polymer, destroyed the carbon-fluorine bond knot, also can clash into hydrogen simultaneously, impelled hydrogen to produce a large amount of hydroperoxyl radicals, improved hydroperoxyl radical concentration, to promote the usefulness of dry type cleaning 134.
It should be noted that, step, the 3rd etch process and the dry type cleaning 134 of second etch process of the present invention, the removal second photoresist layer 130 and the second anti-oxidant bottom 124 are preferably all finished in same reative cell continuously, can effectively remove residual polyalcohol in dry type cleaning 134.
Then, please refer to Figure 11, this moment, alternative moved to Semiconductor substrate 100 in the wet type washing device, and dual-damascene structure 132 is carried out a wet-cleaned technology.In dual-damascene structure 132, insert metal conducting layer 136 again, copper metal for example, and carry out a grinding technics, to finish the making of plain conductor and conductive plunger.
In another embodiment of the present invention, production method elder generation eating thrown second dielectric layer of the dual-damascene structure of formation through hole is to form a through hole earlier, form the lead irrigation canals and ditches that are communicated in through hole in the top of second dielectric layer again, in same etching reaction chamber, feed the gas of hydrogen, oxygen, carbon tetrafluoride subsequently, carry out a dry type cleaning, with clean behind etching second dielectric layer residual polymer.
In brief, the inventive method is in same reative cell, carry out partial through holes etching, removal photoresist layer, eating thrown through hole and the dry type cleaning of dual damascene hole continuously, the residual polyalcohol of effectively removing etch process and being produced with simple technology is so that the plain conductor of follow-up making and conductive plunger can have preferred electrical property efficiency.Compared to prior art, dry type cleaning of the present invention is applied to after the rapid etch process of multistep, directly feed the dry-type cleaning method of gas such as hydrogen at etch process or the same reative cell of removing the photoresist layer, can effectively remove the polymer that contains the carbon-fluorine bond knot.
The above only is the preferred embodiments of the present invention, and all equalizations of doing according to claim of the present invention change and modify, and all should belong to covering scope of the present invention.

Claims (21)

1. method of making a dual-damascene structure, this method includes:
One substrate is provided, includes a conductive layer on it;
Form a dielectric layer, a hard mask layer, one first antireflection bottom and one first photoresist layer on this substrate in regular turn, this first photoresist layer has a ditch channel opening and exposes partly this first antireflection bottom;
Carry out one first etch process, see through this this first antireflection bottom of ditch channel opening etching and this hard mask layer, in this hard mask layer, to etch irrigation canals and ditches depression;
Remove this first photoresist layer and this first antireflection bottom;
On this hard mask layer, form one second antireflection bottom and one second photoresist layer in regular turn, wherein this second antireflection bottom is inserted in this irrigation canals and ditches depression, and this second photoresist layer has a via openings and exposes partly this second antireflection bottom;
Carry out one second etch process, see through partly this dielectric layer of this second antireflection bottom of this via openings eating thrown, this hard mask layer and etching, cave in etching one through hole in this dielectric layer;
Remove this second photoresist layer and this second antireflection bottom;
Carry out one the 3rd etch process,,, form a dual-damascene structure until exposing this conductive layer via this through hole depression and this this dielectric layer of irrigation canals and ditches recess etch; And
Carry out a dry type cleaning, remove the residual polyalcohol that above-mentioned steps produced, wherein the 3rd etch process and this dry type cleaning are finished in same reative cell continuously.
2. method as claimed in claim 1, wherein this dry type cleaning feeds the gas of one hydrogeneous, oxygen or carbon tetrafluoride.
3. method as claimed in claim 2, wherein this gas includes an inert gas or nitrogen in addition.
4. method as claimed in claim 3, wherein this inert gas is an argon gas.
5. method as claimed in claim 2, wherein this gas can provide hydroperoxyl radical, to replace the part of atoms of this residual polyalcohol.
6. method as claimed in claim 1, wherein this hard mask layer is a composite bed, it from bottom to top includes a silicon carbide layer, a metal level and a plasma and strengthens oxide layer, and this silicon carbide layer is the etching stopping layer of this first etch process.
7. method as claimed in claim 1 wherein includes a bottom on this conductive layer in addition.
8. method as claimed in claim 1, wherein this dielectric layer is made of advanced low-k materials.
9. method as claimed in claim 8, wherein this advanced low-k materials is silicone glass, fluorine silex glass or dielectric constant less than 2.5 ultra-low dielectric constant material layer.
10. method as claimed in claim 1, wherein this method includes in addition after carrying out this dry type cleaning step and carries out a wet-cleaned step.
11. method as claimed in claim 1, wherein this second etch process, the step of removing this second photoresist layer, the 3rd etch process and this dry type cleaning form in same reative cell continuously.
12. a method of removing the polymer that produces when making a through hole, this method includes:
One substrate is provided, and this substrate surface includes a conductive layer and a dielectric constant is less than or equal to 2.9 low-dielectric constant layer;
Carry out an etch process, in this low dielectric constant material layer, etch this through hole, expose this conductive layer;
Carry out a dry type cleaning, feed the gas of a hydrogen, oxygen or carbon tetrafluoride, remove the residual polyalcohol that is produced when this low dielectric constant material layer of etching, wherein this dry type cleaning and this etch process are finished in same reative cell continuously.
13. as the method for claim 12, wherein this gas includes an inert gas or a nitrogen in addition.
14. as the method for claim 13, wherein this inert gas is an argon gas.
15. as the method for claim 12, wherein this gas can provide hydroperoxyl radical, to replace the part of atoms of this residual polyalcohol.
16. as the method for claim 12, wherein this low-dielectric constant layer is a silicone glass layer, a fsg film or a dielectric constant less than 2.5 ultra-low dielectric constant material layer.
17. as the method for claim 12, wherein this etch process is the rapid etch process of a multistep.
18. as the method for claim 12, wherein this substrate includes an etching stopping layer in addition, is located on this low dielectric constant material layer.
19. as the method for claim 18, wherein this etching stopping layer is a silicon carbide layer.
20. as the method for claim 19, wherein this method included the step of this etching stopping layer of etching in addition before this etch process.
21. as the method for claim 12, wherein this method includes in addition after carrying out this dry type cleaning step and carries out a wet-cleaned step.
CNB2005100036574A 2005-01-07 2005-01-07 Method for making dual inlay structure and removing its remnant polymer Active CN100353520C (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
CNB2005100036574A CN100353520C (en) 2005-01-07 2005-01-07 Method for making dual inlay structure and removing its remnant polymer

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
CNB2005100036574A CN100353520C (en) 2005-01-07 2005-01-07 Method for making dual inlay structure and removing its remnant polymer

Publications (2)

Publication Number Publication Date
CN1801474A CN1801474A (en) 2006-07-12
CN100353520C true CN100353520C (en) 2007-12-05

Family

ID=36811343

Family Applications (1)

Application Number Title Priority Date Filing Date
CNB2005100036574A Active CN100353520C (en) 2005-01-07 2005-01-07 Method for making dual inlay structure and removing its remnant polymer

Country Status (1)

Country Link
CN (1) CN100353520C (en)

Families Citing this family (15)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN102034735B (en) * 2009-09-27 2013-02-06 中芯国际集成电路制造(上海)有限公司 Method for improving uniformity of characteristic dimension of connecting hole
CN102054745B (en) * 2009-10-30 2013-01-30 中芯国际集成电路制造(上海)有限公司 Method for forming contact hole
CN102054743B (en) * 2009-10-30 2013-05-01 中芯国际集成电路制造(上海)有限公司 Method for forming contact hole in semiconductor device
CN102064106B (en) * 2009-11-18 2013-04-17 无锡华润上华半导体有限公司 Method for pre-removing polymer in hole etching
CN103545245B (en) * 2012-07-10 2016-04-20 中芯国际集成电路制造(上海)有限公司 The formation method of semiconductor structure and processing method
EP2741322B1 (en) * 2012-12-10 2016-04-27 ams AG Semiconductor device with integrated hot plate and recessed substrate and method of production
CN104051324A (en) * 2013-03-13 2014-09-17 中芯国际集成电路制造(上海)有限公司 Forming method of metal interconnection structure
CN104701261B (en) * 2013-12-04 2018-09-07 中芯国际集成电路制造(上海)有限公司 The forming method of transistor
CN104821273B (en) * 2014-09-05 2017-11-28 武汉新芯集成电路制造有限公司 A kind of method for removing residue in groove after deep hole etches
US9601319B1 (en) * 2016-01-07 2017-03-21 Lam Research Corporation Systems and methods for eliminating flourine residue in a substrate processing chamber using a plasma-based process
CN107808822A (en) * 2017-09-29 2018-03-16 上海华虹宏力半导体制造有限公司 The lithographic method of contact hole
CN108493104A (en) * 2018-04-10 2018-09-04 睿力集成电路有限公司 Method for etching plasma and plasma etching post-processing approach
US10714329B2 (en) * 2018-09-28 2020-07-14 Taiwan Semiconductor Manufacturing Co., Ltd. Pre-clean for contacts
US20200321240A1 (en) * 2019-04-04 2020-10-08 Nanya Technology Corporation Method for forming a shallow trench structure
CN111584356A (en) * 2020-06-01 2020-08-25 长江存储科技有限责任公司 Control method and control device for etching process, storage medium and etching equipment

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5679214A (en) * 1996-06-14 1997-10-21 Taiwan Semiconductor Manufacturing Company, Ltd Method of maintaining a strong endpoint detection signal for RIE processes, via use of an insitu dry clean procedure
US6638871B2 (en) * 2002-01-10 2003-10-28 United Microlectronics Corp. Method for forming openings in low dielectric constant material layer
US20040219796A1 (en) * 2003-05-01 2004-11-04 Chih-Ning Wu Plasma etching process

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5679214A (en) * 1996-06-14 1997-10-21 Taiwan Semiconductor Manufacturing Company, Ltd Method of maintaining a strong endpoint detection signal for RIE processes, via use of an insitu dry clean procedure
US6638871B2 (en) * 2002-01-10 2003-10-28 United Microlectronics Corp. Method for forming openings in low dielectric constant material layer
US20040219796A1 (en) * 2003-05-01 2004-11-04 Chih-Ning Wu Plasma etching process

Also Published As

Publication number Publication date
CN1801474A (en) 2006-07-12

Similar Documents

Publication Publication Date Title
CN100353520C (en) Method for making dual inlay structure and removing its remnant polymer
US7078352B2 (en) Methods for selective integration of airgaps and devices made by such methods
US20060246717A1 (en) Method for fabricating a dual damascene and polymer removal
US7871923B2 (en) Self-aligned air-gap in interconnect structures
JP5178983B2 (en) Method for etching dual damascene structures in organosilicate glass
US6713402B2 (en) Methods for polymer removal following etch-stop layer etch
JP5186086B2 (en) Dual damascene patterning approach
US7067435B2 (en) Method for etch-stop layer etching during damascene dielectric etching with low polymerization
KR100500932B1 (en) Method of dry cleaning and photoresist strip after via contact etching
TWI249789B (en) Two-step stripping method for removing via photoresist during the fabrication of partial-via dual damascene structures
JP2006216809A (en) Semiconductor device and its manufacturing method
KR20080024066A (en) Methods of forming electrical interconnect structures using polymer residues to increase etching selectivity through dielectric layers
US8053359B2 (en) Semiconductor device having a second level of metallization formed over a first level with minimal damage to the first level and method
US7192880B2 (en) Method for line etch roughness (LER) reduction for low-k interconnect damascene trench etching
TWI416606B (en) Cleaning method and fabrication method of semiconductor device
CN100511601C (en) Method for forming aperture on low dielectric permittivity dielectric layer
US7192877B2 (en) Low-K dielectric etch process for dual-damascene structures
TWI254986B (en) Method for fabricating a dual damascene and polymer removal
KR20040105578A (en) Semiconductor device and manufacturing method thereof
CN1299349C (en) Method for removing via hole photoresistance with two-steps in double-inlay technique
CN111834197A (en) Semiconductor structure and preparation method thereof
JP4948278B2 (en) Manufacturing method of semiconductor device
US7135406B2 (en) Method for damascene formation using plug materials having varied etching rates
US20070184666A1 (en) Method for removing residue containing an embedded metal
CN108281381B (en) Preparation method of semiconductor interconnection structure

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant