ATE527599T1 - Cache-speicher mit blockvorausholung und dma - Google Patents

Cache-speicher mit blockvorausholung und dma

Info

Publication number
ATE527599T1
ATE527599T1 AT01400684T AT01400684T ATE527599T1 AT E527599 T1 ATE527599 T1 AT E527599T1 AT 01400684 T AT01400684 T AT 01400684T AT 01400684 T AT01400684 T AT 01400684T AT E527599 T1 ATE527599 T1 AT E527599T1
Authority
AT
Austria
Prior art keywords
level
cache
miss
task
tlb
Prior art date
Application number
AT01400684T
Other languages
English (en)
Inventor
Gerard Chauvel
Serge Lasserre
Original Assignee
Texas Instruments France
Texas Instruments Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Texas Instruments France, Texas Instruments Inc filed Critical Texas Instruments France
Application granted granted Critical
Publication of ATE527599T1 publication Critical patent/ATE527599T1/de

Links

Classifications

    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F9/00Arrangements for program control, e.g. control units
    • G06F9/06Arrangements for program control, e.g. control units using stored programs, i.e. using an internal store of processing equipment to receive or retain programs
    • G06F9/46Multiprogramming arrangements
    • G06F9/50Allocation of resources, e.g. of the central processing unit [CPU]
    • G06F9/5005Allocation of resources, e.g. of the central processing unit [CPU] to service a request
    • G06F9/5011Allocation of resources, e.g. of the central processing unit [CPU] to service a request the resources being hardware resources other than CPUs, Servers and Terminals
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F1/00Details not covered by groups G06F3/00 - G06F13/00 and G06F21/00
    • G06F1/16Constructional details or arrangements
    • G06F1/20Cooling means
    • G06F1/206Cooling means comprising thermal management
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F1/00Details not covered by groups G06F3/00 - G06F13/00 and G06F21/00
    • G06F1/26Power supply means, e.g. regulation thereof
    • G06F1/32Means for saving power
    • G06F1/3203Power management, i.e. event-based initiation of a power-saving mode
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F1/00Details not covered by groups G06F3/00 - G06F13/00 and G06F21/00
    • G06F1/26Power supply means, e.g. regulation thereof
    • G06F1/32Means for saving power
    • G06F1/3203Power management, i.e. event-based initiation of a power-saving mode
    • G06F1/3234Power saving characterised by the action undertaken
    • G06F1/329Power saving characterised by the action undertaken by task scheduling
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F11/00Error detection; Error correction; Monitoring
    • G06F11/30Monitoring
    • G06F11/34Recording or statistical evaluation of computer activity, e.g. of down time, of input/output operation ; Recording or statistical evaluation of user activity, e.g. usability assessment
    • G06F11/3409Recording or statistical evaluation of computer activity, e.g. of down time, of input/output operation ; Recording or statistical evaluation of user activity, e.g. usability assessment for performance assessment
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F12/00Accessing, addressing or allocating within memory systems or architectures
    • G06F12/02Addressing or allocation; Relocation
    • G06F12/0223User address space allocation, e.g. contiguous or non contiguous base addressing
    • G06F12/0292User address space allocation, e.g. contiguous or non contiguous base addressing using tables or multilevel address translation means
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F12/00Accessing, addressing or allocating within memory systems or architectures
    • G06F12/02Addressing or allocation; Relocation
    • G06F12/08Addressing or allocation; Relocation in hierarchically structured memory systems, e.g. virtual memory systems
    • G06F12/0802Addressing of a memory level in which the access to the desired data or data block requires associative addressing means, e.g. caches
    • G06F12/0877Cache access modes
    • G06F12/0879Burst mode
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F12/00Accessing, addressing or allocating within memory systems or architectures
    • G06F12/02Addressing or allocation; Relocation
    • G06F12/08Addressing or allocation; Relocation in hierarchically structured memory systems, e.g. virtual memory systems
    • G06F12/0802Addressing of a memory level in which the access to the desired data or data block requires associative addressing means, e.g. caches
    • G06F12/0891Addressing of a memory level in which the access to the desired data or data block requires associative addressing means, e.g. caches using clearing, invalidating or resetting means
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F12/00Accessing, addressing or allocating within memory systems or architectures
    • G06F12/02Addressing or allocation; Relocation
    • G06F12/08Addressing or allocation; Relocation in hierarchically structured memory systems, e.g. virtual memory systems
    • G06F12/10Address translation
    • G06F12/1027Address translation using associative or pseudo-associative address translation means, e.g. translation look-aside buffer [TLB]
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F9/00Arrangements for program control, e.g. control units
    • G06F9/06Arrangements for program control, e.g. control units using stored programs, i.e. using an internal store of processing equipment to receive or retain programs
    • G06F9/30Arrangements for executing machine instructions, e.g. instruction decode
    • G06F9/30003Arrangements for executing specific machine instructions
    • G06F9/3004Arrangements for executing specific machine instructions to perform operations on memory
    • G06F9/30043LOAD or STORE instructions; Clear instruction
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F9/00Arrangements for program control, e.g. control units
    • G06F9/06Arrangements for program control, e.g. control units using stored programs, i.e. using an internal store of processing equipment to receive or retain programs
    • G06F9/46Multiprogramming arrangements
    • G06F9/50Allocation of resources, e.g. of the central processing unit [CPU]
    • G06F9/5094Allocation of resources, e.g. of the central processing unit [CPU] where the allocation takes into account power or heat criteria
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F12/00Accessing, addressing or allocating within memory systems or architectures
    • G06F12/02Addressing or allocation; Relocation
    • G06F12/08Addressing or allocation; Relocation in hierarchically structured memory systems, e.g. virtual memory systems
    • G06F12/10Address translation
    • G06F12/1081Address translation for peripheral access to main memory, e.g. direct memory access [DMA]
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F2201/00Indexing scheme relating to error detection, to error correction, and to monitoring
    • G06F2201/81Threshold
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F2201/00Indexing scheme relating to error detection, to error correction, and to monitoring
    • G06F2201/815Virtual
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F2201/00Indexing scheme relating to error detection, to error correction, and to monitoring
    • G06F2201/86Event-based monitoring
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F2201/00Indexing scheme relating to error detection, to error correction, and to monitoring
    • G06F2201/88Monitoring involving counting
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F2201/00Indexing scheme relating to error detection, to error correction, and to monitoring
    • G06F2201/885Monitoring specific for caches
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F2209/00Indexing scheme relating to G06F9/00
    • G06F2209/50Indexing scheme relating to G06F9/50
    • G06F2209/5014Reservation
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F2209/00Indexing scheme relating to G06F9/00
    • G06F2209/50Indexing scheme relating to G06F9/50
    • G06F2209/5021Priority
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F2209/00Indexing scheme relating to G06F9/00
    • G06F2209/50Indexing scheme relating to G06F9/50
    • G06F2209/507Low-level
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F2212/00Indexing scheme relating to accessing, addressing or allocation within memory systems or architectures
    • G06F2212/10Providing a specific technical effect
    • G06F2212/1028Power efficiency
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F2212/00Indexing scheme relating to accessing, addressing or allocation within memory systems or architectures
    • G06F2212/68Details of translation look-aside buffer [TLB]
    • G06F2212/681Multi-level TLB, e.g. microTLB and main TLB
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y02TECHNOLOGIES OR APPLICATIONS FOR MITIGATION OR ADAPTATION AGAINST CLIMATE CHANGE
    • Y02DCLIMATE CHANGE MITIGATION TECHNOLOGIES IN INFORMATION AND COMMUNICATION TECHNOLOGIES [ICT], I.E. INFORMATION AND COMMUNICATION TECHNOLOGIES AIMING AT THE REDUCTION OF THEIR OWN ENERGY USE
    • Y02D10/00Energy efficient computing, e.g. low power processors, power management or thermal management

Landscapes

  • Engineering & Computer Science (AREA)
  • Theoretical Computer Science (AREA)
  • General Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Software Systems (AREA)
  • Human Computer Interaction (AREA)
  • Computer Hardware Design (AREA)
  • Quality & Reliability (AREA)
  • Memory System Of A Hierarchy Structure (AREA)
AT01400684T 2000-08-21 2001-03-15 Cache-speicher mit blockvorausholung und dma ATE527599T1 (de)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
EP00402331A EP1182559B1 (de) 2000-08-21 2000-08-21 Mikroprozessor

Publications (1)

Publication Number Publication Date
ATE527599T1 true ATE527599T1 (de) 2011-10-15

Family

ID=8173823

Family Applications (8)

Application Number Title Priority Date Filing Date
AT00402948T ATE463792T1 (de) 2000-08-21 2000-10-24 Durch ein temperaturfeld gesteuerte regelung für verarbeitungssysteme
AT00402947T ATE440328T1 (de) 2000-08-21 2000-10-24 Auf aufgaben basierte adaptive profilerstellung und fehlerbeseitigung
AT01400684T ATE527599T1 (de) 2000-08-21 2001-03-15 Cache-speicher mit blockvorausholung und dma
AT01400686T ATE441893T1 (de) 2000-08-21 2001-03-15 Cache-speicher mit dma und schmutzigen bits
AT01400685T ATE509315T1 (de) 2000-08-21 2001-03-22 Intelligenter cache-speicher mit unterbrechbarer blockvorausholung
AT01401216T ATE500552T1 (de) 2000-08-21 2001-05-11 Tlb-ver- und entriegelungsoperation
AT01401218T ATE497211T1 (de) 2000-08-21 2001-05-11 Auf gemeinsamem bit basierte tlb-operationen
AT01401678T ATE546778T1 (de) 2000-08-21 2001-06-25 Auf id aufgaben basierende fehlerverwaltung und - beseitigung

Family Applications Before (2)

Application Number Title Priority Date Filing Date
AT00402948T ATE463792T1 (de) 2000-08-21 2000-10-24 Durch ein temperaturfeld gesteuerte regelung für verarbeitungssysteme
AT00402947T ATE440328T1 (de) 2000-08-21 2000-10-24 Auf aufgaben basierte adaptive profilerstellung und fehlerbeseitigung

Family Applications After (5)

Application Number Title Priority Date Filing Date
AT01400686T ATE441893T1 (de) 2000-08-21 2001-03-15 Cache-speicher mit dma und schmutzigen bits
AT01400685T ATE509315T1 (de) 2000-08-21 2001-03-22 Intelligenter cache-speicher mit unterbrechbarer blockvorausholung
AT01401216T ATE500552T1 (de) 2000-08-21 2001-05-11 Tlb-ver- und entriegelungsoperation
AT01401218T ATE497211T1 (de) 2000-08-21 2001-05-11 Auf gemeinsamem bit basierte tlb-operationen
AT01401678T ATE546778T1 (de) 2000-08-21 2001-06-25 Auf id aufgaben basierende fehlerverwaltung und - beseitigung

Country Status (4)

Country Link
US (1) US6751706B2 (de)
EP (1) EP1182559B1 (de)
AT (8) ATE463792T1 (de)
DE (6) DE60041444D1 (de)

Families Citing this family (116)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7266725B2 (en) 2001-09-03 2007-09-04 Pact Xpp Technologies Ag Method for debugging reconfigurable architectures
DE19654595A1 (de) 1996-12-20 1998-07-02 Pact Inf Tech Gmbh I0- und Speicherbussystem für DFPs sowie Bausteinen mit zwei- oder mehrdimensionaler programmierbaren Zellstrukturen
US6542998B1 (en) 1997-02-08 2003-04-01 Pact Gmbh Method of self-synchronization of configurable elements of a programmable module
US8686549B2 (en) * 2001-09-03 2014-04-01 Martin Vorbach Reconfigurable elements
DE19861088A1 (de) 1997-12-22 2000-02-10 Pact Inf Tech Gmbh Verfahren zur Reparatur von integrierten Schaltkreisen
US7003660B2 (en) 2000-06-13 2006-02-21 Pact Xpp Technologies Ag Pipeline configuration unit protocols and communication
EP1228440B1 (de) 1999-06-10 2017-04-05 PACT XPP Technologies AG Sequenz-partitionierung auf zellstrukturen
EP1182556B1 (de) * 2000-08-21 2009-08-19 Texas Instruments France Auf Aufgaben basierte adaptive Profilerstellung und Fehlerbeseitigung
EP1182569B8 (de) * 2000-08-21 2011-07-06 Texas Instruments Incorporated TLB-Ver- und Entriegelungsoperation
EP1213650A3 (de) * 2000-08-21 2006-08-30 Texas Instruments France Auf aktueller Aufgabe basierte Prioritätsarbitrierung und Speicherverwaltungseinheit
US8058899B2 (en) 2000-10-06 2011-11-15 Martin Vorbach Logic cell array and bus system
US7174194B2 (en) * 2000-10-24 2007-02-06 Texas Instruments Incorporated Temperature field controlled scheduling for processing systems
US7444531B2 (en) 2001-03-05 2008-10-28 Pact Xpp Technologies Ag Methods and devices for treating and processing data
US9037807B2 (en) 2001-03-05 2015-05-19 Pact Xpp Technologies Ag Processor arrangement on a chip including data processing, memory, and interface elements
US7844796B2 (en) 2001-03-05 2010-11-30 Martin Vorbach Data processing device and method
WO2005045692A2 (en) 2003-08-28 2005-05-19 Pact Xpp Technologies Ag Data processing device and method
US20070220000A1 (en) * 2001-05-09 2007-09-20 Walsh Aaron E Universal Cache
US7996827B2 (en) 2001-08-16 2011-08-09 Martin Vorbach Method for the translation of programs for reconfigurable architectures
JP3852703B2 (ja) * 2001-08-29 2006-12-06 アナログ・デバイシズ・インコーポレーテッド 無線システムにおけるタイミングおよび事象処理の方法および装置
US7434191B2 (en) 2001-09-03 2008-10-07 Pact Xpp Technologies Ag Router
US8686475B2 (en) 2001-09-19 2014-04-01 Pact Xpp Technologies Ag Reconfigurable elements
US20030088799A1 (en) * 2001-11-05 2003-05-08 Bodas Devadatta V. Method and apparatus for regulation of electrical component temperature and power consumption rate through bus width reconfiguration
AU2003208266A1 (en) 2002-01-19 2003-07-30 Pact Xpp Technologies Ag Reconfigurable processor
US8127061B2 (en) 2002-02-18 2012-02-28 Martin Vorbach Bus systems and reconfiguration methods
JP4056768B2 (ja) * 2002-03-04 2008-03-05 富士通株式会社 マイクロコンピュータ、キャッシュメモリ制御方法及びクロック制御方法
EP1518186A2 (de) * 2002-03-21 2005-03-30 PACT XPP Technologies AG Verfahren und vorrichtung zur datenverarbeitung
US20110161977A1 (en) * 2002-03-21 2011-06-30 Martin Vorbach Method and device for data processing
US8914590B2 (en) 2002-08-07 2014-12-16 Pact Xpp Technologies Ag Data processing method and device
US6832296B2 (en) * 2002-04-09 2004-12-14 Ip-First, Llc Microprocessor with repeat prefetch instruction
EP1391820A3 (de) * 2002-07-31 2007-12-19 Texas Instruments Incorporated Gleichzeitige Taskausführung in einer Mehrfachprozessorumgebung mit einzelnem Betriebssystem
US7657861B2 (en) 2002-08-07 2010-02-02 Pact Xpp Technologies Ag Method and device for processing data
AU2003286131A1 (en) 2002-08-07 2004-03-19 Pact Xpp Technologies Ag Method and device for processing data
US7155588B1 (en) * 2002-08-12 2006-12-26 Cisco Technology, Inc. Memory fence with background lock release
JP4388895B2 (ja) 2002-09-06 2009-12-24 ペーアーツェーテー イクスペーペー テクノロジーズ アクチエンゲゼルシャフト リコンフィギュアラブルなシーケンサ構造
US7171539B2 (en) * 2002-11-18 2007-01-30 Arm Limited Apparatus and method for controlling access to a memory
US7032077B2 (en) * 2002-12-23 2006-04-18 Hewlett-Packard Development Company, L.P. Multiple cache coherency
US20040199727A1 (en) * 2003-04-02 2004-10-07 Narad Charles E. Cache allocation
JP2006524850A (ja) * 2003-04-04 2006-11-02 ペーアーツェーテー イクスペーペー テクノロジーズ アクチエンゲゼルシャフト データ処理方法およびデータ処理装置
US7636815B1 (en) * 2003-04-09 2009-12-22 Klaiber Alexander C System and method for handling direct memory accesses
US8751753B1 (en) 2003-04-09 2014-06-10 Guillermo J. Rozas Coherence de-coupling buffer
US7082508B2 (en) * 2003-06-24 2006-07-25 Intel Corporation Dynamic TLB locking based on page usage metric
US7577146B2 (en) * 2003-10-31 2009-08-18 Redback Networks Inc. Network element modifying the DHCP lease timer
US7536692B2 (en) * 2003-11-06 2009-05-19 Intel Corporation Thread-based engine cache partitioning
US20050182912A1 (en) * 2004-02-12 2005-08-18 International Business Machines Corporation Method of effective to real address translation for a multi-threaded microprocessor
ATE458222T1 (de) * 2004-06-08 2010-03-15 Freescale Semiconductor Inc Aufrechterhaltung der cachespeicherkoherenz zum direkten zugriff (dma), abschluss einer aufgabe, zur synchronisierung
US8646107B1 (en) * 2004-06-25 2014-02-04 Altera Corporation Implementing usage limited systems
US7685354B1 (en) * 2004-06-30 2010-03-23 Sun Microsystems, Inc. Multiple-core processor with flexible mapping of processor cores to cache banks
US7873776B2 (en) * 2004-06-30 2011-01-18 Oracle America, Inc. Multiple-core processor with support for multiple virtual processors
US7257678B2 (en) * 2004-10-01 2007-08-14 Advanced Micro Devices, Inc. Dynamic reconfiguration of cache memory
US7472224B1 (en) 2004-10-01 2008-12-30 Advanced Micro Devices, Inc. Reconfigurable processing node including first and second processor cores
US7971002B1 (en) * 2005-04-07 2011-06-28 Guillermo Rozas Maintaining instruction coherency in a translation-based computer system architecture
US20060242390A1 (en) * 2005-04-26 2006-10-26 Intel Corporation Advanced load address table buffer
US20070005842A1 (en) * 2005-05-16 2007-01-04 Texas Instruments Incorporated Systems and methods for stall monitoring
US7281092B2 (en) * 2005-06-02 2007-10-09 International Business Machines Corporation System and method of managing cache hierarchies with adaptive mechanisms
GB2427715A (en) * 2005-06-24 2007-01-03 Advanced Risc Mach Ltd Managing snoop operations in a multiprocessor system
JP2007011580A (ja) * 2005-06-29 2007-01-18 Toshiba Corp 情報処理装置
US7711391B2 (en) * 2005-07-29 2010-05-04 Varia Holdings Llc Multiple processor communication circuit cards and communication devices that employ such cards
US8990501B1 (en) 2005-10-12 2015-03-24 Azul Systems, Inc. Multiple cluster processor
WO2007057726A1 (en) 2005-11-17 2007-05-24 Freescale Semiconductor, Inc. Multi-port high-level cache unit and a method for retrieving information from a multi-port high-level cache unit
US7848901B2 (en) * 2005-11-29 2010-12-07 International Business Machines Corporation Tracing thermal data via performance monitoring
US7698089B2 (en) * 2005-11-29 2010-04-13 International Business Machines Corporation Generation of software thermal profiles executed on a set of processors using processor activity
US8327075B2 (en) 2005-12-08 2012-12-04 International Business Machines Corporation Methods and apparatus for handling a cache miss
JP2009524134A (ja) * 2006-01-18 2009-06-25 ペーアーツェーテー イクスペーペー テクノロジーズ アクチエンゲゼルシャフト ハードウェア定義方法
US7716423B2 (en) * 2006-02-07 2010-05-11 International Business Machines Corporation Pseudo LRU algorithm for hint-locking during software and hardware address translation cache miss handling modes
US7603522B1 (en) * 2006-05-10 2009-10-13 Globalfoundries Inc. Blocking aggressive neighbors in a cache subsystem
US7778822B2 (en) * 2006-05-19 2010-08-17 Sony Ericsson Mobile Communications Ab Allocating audio processing among a plurality of processing units with a global synchronization pulse
US7502913B2 (en) 2006-06-16 2009-03-10 Microsoft Corporation Switch prefetch in a multicore computer chip
US20080091866A1 (en) * 2006-10-12 2008-04-17 International Business Machines Corporation Maintaining forward progress in a shared L2 by detecting and breaking up requestor starvation
JP5088365B2 (ja) * 2007-03-23 2012-12-05 富士通株式会社 電子機器および負荷分散プログラム
US8161482B1 (en) 2007-04-13 2012-04-17 Marvell International Ltd. Power optimization for multi-core devices
US8156283B2 (en) * 2007-05-10 2012-04-10 Texas Instruments Incorporated Processing function connected to processor memory hierarchy
US8166239B2 (en) * 2007-06-21 2012-04-24 International Business Machines Corporation Translation lookaside buffer and related method and program product utilized for virtual addresses
CN100489814C (zh) * 2007-08-01 2009-05-20 杭州华三通信技术有限公司 一种共享缓存系统及实现方法
EP2220554A1 (de) * 2007-11-17 2010-08-25 Krass, Maren Rekonfiguri erbare fliesskomma- und bit- ebenen datenverarbeitungseinheit
US20110173596A1 (en) * 2007-11-28 2011-07-14 Martin Vorbach Method for facilitating compilation of high-level code for varying architectures
CN101652760B (zh) * 2007-12-10 2012-12-26 松下电器产业株式会社 共享缓存控制装置、共享缓存控制方法及集成电路
US7844779B2 (en) * 2007-12-13 2010-11-30 International Business Machines Corporation Method and system for intelligent and dynamic cache replacement management based on efficient use of cache for individual processor core
US8028124B2 (en) * 2007-12-20 2011-09-27 International Business Machines Corporation Fast processing memory array
US8868836B2 (en) * 2007-12-31 2014-10-21 Intel Corporation Reducing minimum operating voltage through hybrid cache design
US7457920B1 (en) * 2008-01-26 2008-11-25 International Business Machines Corporation Method and system for cache eviction
JP4691153B2 (ja) * 2008-12-10 2011-06-01 富士通株式会社 マルチコアプロセッサ,制御方法および情報処理装置
EP2441005A2 (de) 2009-06-09 2012-04-18 Martin Vorbach System und verfahren für einen zwischenspeicher in einem multikernprozessor
US8549249B1 (en) * 2009-09-21 2013-10-01 Tilera Corporation Supporting secondary atomic operations using primary atomic operations
JP2011095852A (ja) * 2009-10-27 2011-05-12 Toshiba Corp キャッシュメモリ制御回路
US8400822B2 (en) * 2010-03-22 2013-03-19 Qualcomm Incorporated Multi-port non-volatile memory that includes a resistive memory element
US9355109B2 (en) * 2010-06-11 2016-05-31 The Research Foundation For The State University Of New York Multi-tier caching
US9418011B2 (en) * 2010-06-23 2016-08-16 Intel Corporation Region based technique for accurately predicting memory accesses
US9330281B2 (en) * 2010-09-19 2016-05-03 Mediatek Singapore Pte. Ltd. Method for performing coding resource management, and associated processing circuit
US8904115B2 (en) * 2010-09-28 2014-12-02 Texas Instruments Incorporated Cache with multiple access pipelines
JP2012234254A (ja) * 2011-04-28 2012-11-29 Toshiba Corp メモリシステム
US8868843B2 (en) 2011-11-30 2014-10-21 Advanced Micro Devices, Inc. Hardware filter for tracking block presence in large caches
DE112011105984T5 (de) * 2011-12-20 2014-09-18 Intel Corporation Dynamische teilweise Abschaltung eines arbeitsspeicherseitigen Zwischenspeichers in einer Arbeitsspeicherhierarchie auf zwei Ebenen
KR101858159B1 (ko) 2012-05-08 2018-06-28 삼성전자주식회사 멀티-cpu 시스템과 이를 포함하는 컴퓨팅 시스템
US9086980B2 (en) 2012-08-01 2015-07-21 International Business Machines Corporation Data processing, method, device, and system for processing requests in a multi-core system
US9146877B2 (en) * 2012-11-29 2015-09-29 Infinidat Ltd. Storage system capable of managing a plurality of snapshot families and method of snapshot family based read
US9311014B2 (en) 2012-11-29 2016-04-12 Infinidat Ltd. Storage system and methods of mapping addresses of snapshot families
US8984255B2 (en) * 2012-12-21 2015-03-17 Advanced Micro Devices, Inc. Processing device with address translation probing and methods
WO2014142867A1 (en) 2013-03-14 2014-09-18 Intel Corporation Power efficient level one data cache access with pre-validated tags
US9600852B2 (en) * 2013-05-10 2017-03-21 Nvidia Corporation Hierarchical hash tables for SIMT processing and a method of establishing hierarchical hash tables
CN103577270B (zh) * 2013-10-30 2017-05-17 宇龙计算机通信科技(深圳)有限公司 控制分体式移动终端的使用方法及分体式移动终端
US9697124B2 (en) 2015-01-13 2017-07-04 Qualcomm Incorporated Systems and methods for providing dynamic cache extension in a multi-cluster heterogeneous processor architecture
US9720834B2 (en) 2015-12-11 2017-08-01 Oracle International Corporation Power saving for reverse directory
US9892058B2 (en) * 2015-12-16 2018-02-13 Advanced Micro Devices, Inc. Centrally managed unified shared virtual address space
US10296465B2 (en) * 2016-11-29 2019-05-21 Board Of Regents, The University Of Texas System Processor using a level 3 translation lookaside buffer implemented in off-chip or die-stacked dynamic random-access memory
US11003592B2 (en) 2017-04-24 2021-05-11 Intel Corporation System cache optimizations for deep learning compute engines
US10505860B1 (en) * 2017-05-30 2019-12-10 Xilinx, Inc. System and method for round robin scheduling
US10970118B2 (en) 2017-08-02 2021-04-06 Advanced Micro Devices, Inc. Shareable FPGA compute engine
US10282129B1 (en) * 2017-10-24 2019-05-07 Bottomline Technologies (De), Inc. Tenant aware, variable length, deduplication of stored data
US10922232B1 (en) 2019-05-01 2021-02-16 Apple Inc. Using cache memory as RAM with external access support
US11216385B2 (en) 2019-05-15 2022-01-04 Samsung Electronics Co., Ltd. Application processor, system-on chip and method of operating memory management unit
CN110188050A (zh) * 2019-05-29 2019-08-30 中南大学 一种基于N-gram算法的多通道按需预取设计方法
US11422812B2 (en) 2019-06-25 2022-08-23 Advanced Micro Devices, Inc. Method and apparatus for efficient programmable instructions in computer systems
US10802973B1 (en) 2019-07-01 2020-10-13 Bank Of America Corporation Data access tool
US11194730B2 (en) * 2020-02-09 2021-12-07 International Business Machines Corporation Application interface to depopulate data from cache
JP2022159714A (ja) 2021-04-05 2022-10-18 富士通株式会社 情報処理装置及び情報処理方法
CN117851278A (zh) * 2024-03-08 2024-04-09 上海芯联芯智能科技有限公司 一种共享静态随机存取存储器的方法及中央处理器

Family Cites Families (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP0442474B1 (de) * 1990-02-13 1997-07-23 Sanyo Electric Co., Ltd. Vorrichtung und Verfahren zum Steuern eines Cache-Speichers
US5636364A (en) 1994-12-01 1997-06-03 International Business Machines Corporation Method for enabling concurrent misses in a cache memory
US5809522A (en) * 1995-12-18 1998-09-15 Advanced Micro Devices, Inc. Microprocessor system with process identification tag entries to reduce cache flushing after a context switch
JPH11203192A (ja) 1998-01-16 1999-07-30 Sony Corp 並列プロセッサおよび演算処理方法

Also Published As

Publication number Publication date
ATE546778T1 (de) 2012-03-15
EP1182559B1 (de) 2009-01-21
ATE440328T1 (de) 2009-09-15
DE60144131D1 (de) 2011-04-14
DE60041444D1 (de) 2009-03-12
US6751706B2 (en) 2004-06-15
DE60139748D1 (de) 2009-10-15
DE60143929D1 (de) 2011-03-10
DE60042780D1 (de) 2009-10-01
ATE463792T1 (de) 2010-04-15
DE60044128D1 (de) 2010-05-20
ATE509315T1 (de) 2011-05-15
EP1182559A1 (de) 2002-02-27
ATE497211T1 (de) 2011-02-15
ATE500552T1 (de) 2011-03-15
US20020073282A1 (en) 2002-06-13
ATE441893T1 (de) 2009-09-15

Similar Documents

Publication Publication Date Title
ATE527599T1 (de) Cache-speicher mit blockvorausholung und dma
US7558939B2 (en) Three-tiered translation lookaside buffer hierarchy in a multithreading microprocessor
Papadopoulou et al. Prediction-based superpage-friendly TLB designs
US6681297B2 (en) Software controlled cache configuration based on average miss rate
DE602004025442D1 (de) Träge Räumung von Adressenübersetzungspufferspeicher
JP7337173B2 (ja) トランスレーションルックアサイドバッファエビクションに基づくキャッシュ置換
EP0243724A3 (de) Mehrebenen-Speicherhierarchie mit variabler Adressierungsart
GB2317976A (en) Reducing power consumption in a processing device
Sembrant et al. The direct-to-data (D2D) cache: Navigating the cache hierarchy with a single lookup
Baruah et al. Valkyrie: Leveraging inter-tlb locality to enhance gpu performance
US20030084253A1 (en) Identification of stale entries in a computer cache
WO2002084492A3 (en) Reverse directory for facilitating accesses involving a lower-level cache
Li et al. PS-TLB: Leveraging page classification information for fast, scalable and efficient translation for future CMPs
El-Nacouzi et al. A dual grain hit-miss detector for large die-stacked DRAM caches
Backes et al. The impact of cache inclusion policies on cache management techniques
US11687466B1 (en) Translation lookaside buffer consistency directory for use with virtually-indexed virtually-tagged first level data cache that holds page table permissions
Harris et al. 8-memory systems
Qiu et al. Moving address translation closer to memory in distributed shared-memory multiprocessors
Fu et al. Active forwarding: Eliminate IOMMU address translation for accelerator-rich architectures
Shi et al. LDAC: Locality-aware data access control for large-scale multicore cache hierarchies
Qiu et al. Tag-Sharer-Fusion Directory: A Scalable Coherence Directory With Flexible Entry Formats
Sun et al. Valkyrie: Leveraging Inter-TLB Locality to Enhance GPU Performance
Das et al. Pad: Power-aware directory placement in distributed caches
Kong A locality-aware write filter cache for energy reduction of STTRAM-based L1 data cache
Yoon et al. Region-level Tracking for Scalable Directory Cache

Legal Events

Date Code Title Description
RER Ceased as to paragraph 5 lit. 3 law introducing patent treaties