TWI320874B - Novel planarization method for multi-layer lithography processing - Google Patents

Novel planarization method for multi-layer lithography processing Download PDF

Info

Publication number
TWI320874B
TWI320874B TW092104210A TW92104210A TWI320874B TW I320874 B TWI320874 B TW I320874B TW 092104210 A TW092104210 A TW 092104210A TW 92104210 A TW92104210 A TW 92104210A TW I320874 B TWI320874 B TW I320874B
Authority
TW
Taiwan
Prior art keywords
layer
substrate
planarization layer
planarization
imaging
Prior art date
Application number
TW092104210A
Other languages
English (en)
Other versions
TW200405122A (en
Inventor
Shih Wu-Sheng
E Lamb James Iii
Daffron Mark
Ann Minzey Snook Juliet
Original Assignee
Brewer Science Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Brewer Science Inc filed Critical Brewer Science Inc
Publication of TW200405122A publication Critical patent/TW200405122A/zh
Application granted granted Critical
Publication of TWI320874B publication Critical patent/TWI320874B/zh

Links

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/0002Lithographic processes using patterning methods other than those involving the exposure to radiation, e.g. by stamping
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B82NANOTECHNOLOGY
    • B82YSPECIFIC USES OR APPLICATIONS OF NANOSTRUCTURES; MEASUREMENT OR ANALYSIS OF NANOSTRUCTURES; MANUFACTURE OR TREATMENT OF NANOSTRUCTURES
    • B82Y10/00Nanotechnology for information processing, storage or transmission, e.g. quantum computing or single electron logic
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B82NANOTECHNOLOGY
    • B82YSPECIFIC USES OR APPLICATIONS OF NANOSTRUCTURES; MEASUREMENT OR ANALYSIS OF NANOSTRUCTURES; MANUFACTURE OR TREATMENT OF NANOSTRUCTURES
    • B82Y40/00Manufacture or treatment of nanostructures
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/09Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers
    • G03F7/094Multilayer resist systems, e.g. planarising layers
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/09Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers
    • G03F7/095Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers having more than one photosensitive layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/308Chemical or electrical treatment, e.g. electrolytic etching using masks
    • H01L21/3081Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their composition, e.g. multilayer masks, materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/308Chemical or electrical treatment, e.g. electrolytic etching using masks
    • H01L21/3083Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/3086Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/31051Planarisation of the insulating layers
    • H01L21/31053Planarisation of the insulating layers involving a dielectric removal step
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T428/00Stock material or miscellaneous articles
    • Y10T428/24Structurally defined web or sheet [e.g., overall dimension, etc.]
    • Y10T428/24802Discontinuous or differential coating, impregnation or bond [e.g., artwork, printing, retouched photograph, etc.]
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T428/00Stock material or miscellaneous articles
    • Y10T428/24Structurally defined web or sheet [e.g., overall dimension, etc.]
    • Y10T428/24802Discontinuous or differential coating, impregnation or bond [e.g., artwork, printing, retouched photograph, etc.]
    • Y10T428/24851Intermediate layer is discontinuous or differential

Description

玫、發明說明: 聯邦贊助研究/發展計劃 本發明係美國政府在先進技術計劃#70NANBIH3019下所 支持的’其由全國標準和技術機構(NIST)所授予。美國政 府具有在本發明中之特定權利。 相關申請案 本申μ案請求2〇〇2年2月27日所提出之第60/360,374號之 標邊為多層微影製程之新穎平面化方法之中請案之優先 權,其之全文在此併入本文中。 【發明所屬之技術領域】 本發明廣泛地指向新的接觸平面化方法,其利用熱塑, 可尤、固化和可光固化之平面化材料以製造微電子,光子, ^ 光予械电機系統(MEMS),生物晶片和感測器裝置 以及其他在其中需要微影製程之程序。 【先前技術】 4 對微電子裝置具有較小實體外形之市場需求已驅使了對 將較小的微結構建造至裝置中之需求。另夕卜,這樣的裝置 被預期為更有能源效率且特徵為更有強大的功能,同時更 有成本效率地建造.。為實現這些目標,在積體電路⑽晶片 上找到的特徵尺寸必須變得持續地更小。因此,必須將具 有諸如線’槽,穿孔和洞之更小的微結構之互連之多層形 成樣式至裝置基板上。目前,使用微影來將這些微結構建 造於裝置基板上。以-單光阻層來正常地完成此程序。興 起的微影技術,諸如壓印微影,奈米壓印微影熱凸微影, 83889 1320874 以及打印樣式轉移已被提出。這些技術使用形成樣式之轉 模來將樣式轉移至基板表面上,來取代依賴微影形成樣式。 為了建u更小的微結構,已在微影製程中使用了較短波 長之曝光光線(例如從248爪爪至丨们〇1〇1至157 mm至極紫外 線(EUV)和更短)。結果,焦點深度(Dqf)變得更有,如此造 成-較小的微影製程寬容度。因此,基板表面地貌和厚度 及應用至欲形成樣式之表面之塗覆之厚度一致性成為在製 造具有所要之特徵尺寸之微結構中之關鍵因素。當曝光光 ••'泉足波長嫒彳于更短時,基板表面地貌之容忍度變得更窄。 另外,欲形成樣式之薄膜需要足夠地薄以在微影製程之· 内,假設基板表面完美地平面的話。當建造更多互連層時, 基板表面地貌變得更為嚴峻,使得其超出了微影製程之臟 允許之限制之外。因此,無法將細微的特徵尺寸形成樣式 至基板表面上》 ,另外由表面地貌所引起之挑戰為-應用於表面上之薄焱 塗覆傾向以非—致的厚度具有下方地貌之輪廓。即,下方 表面之地貌以較不嚴格之方式被複製。在凹人區域中之薄 膜塗覆傾向比其他區域中來得厚。薄膜厚度之非—致性, 連同表面拓樸’藉由造成下方和上方曝露區域和藉由造成 在微影製程中之焦點外(即在D〇F範圍外)之區域而產生問 題:因此,無法實現在微影製程中微結構之所需要的關鍵 維度(CD)控制。這些因素防礙實現所要的微結構特徵尺寸。 當DOF變窄時,單層光阻微影製程具有十分受限之能力 來符合樣式形成需求’因為基板表面之地貌和光阻之厚度 83889 1320874 和厚度一致性之故。因此,已提出和進行了多層塗覆製程。 首先將一厚層的平面化材料塗覆至基板表面上以提供一更 平面的表面,在其上可應用額外的塗覆層。最上層受到微 影樣式形成作用。然後以諸如非等向性電漿蝕刻之適當程 序透過所有應用至基板上的層來將在最上層上形成樣式 之表面被向下轉移至基板。此技術稱為—上方表面成像程 序。此上方表面成像程序可能牽涉到在應用光感最上層並 將<形成樣式之前,應用三,三,或甚至更多層塗覆至基 板表面上》 圖l(a)-(C)說明了 一先前技藝程序。如圖1(&)中所示的, 當更多互連層建構至一裝置基板1〇之表面上時,由層所產 生 < 地貌12變得無法接受。在這些情況下,一單層光阻程 序不再適於將所要的結構形成樣式於這樣—個=貌表= 上。因此’已進行一雙層製程來改進微影程序窗。此雙層 製私牽涉到旋轉塗覆一平面化材料14至纟面上以填滿凹1 區域,諸如穿孔和槽16,之後為一熱回流程序。此平面化 材料可為-抗反射塗覆,—光阻,或—類似的材料。然而, 旋轉塗覆的層傾向具有下方層之表面拓樸之輪靡(參看圖 1(b))。熱重力回流程序允許塗覆之材料流人凹人區域 孔和槽16)以稍缓和表面之地貌,如圖I(b)中所示的。若赤 要的話,此塗覆材料可於回流程序期間或之後加以埶六= &供更千面的表面,在其上可應用外血 1上為一光阻之一上方層18被應用在平面層之上方上,” 圖1(0中所示的。此光阻層於微影製程期間形成樣式。因此如 83889 1320874 =厚度’厚度1性和表面影像被形成 中,基板表面受到—電衆㈣程序之作 ::層18 中姓岁I丨妻為& .#、, 猪由在光阻層 … 打開區域來將影像轉移至底部層,且若 必要的話至基板。因為當塗覆地貌基板表 2 ―行4度並非—致的,且可能較上方層_ ^母^之電漿_率是關鍵的。最好上方層㈣ 底邵層低得多的電漿㈣率。然後上方層Μ可在樣 程序期間’即,電浆触刻程序期間作用如一敍刻光罩。因 ^底邵和上方層之電浆蚀刻選擇性對於將形成樣式之影 從上万層轉移展部層和至下方基板是關鍵的。 為了放寬蚀刻選擇需求並防止底部和光阻層間之交互作 用’已提出在底部層和光阻層之間應用一種十分薄之硬光 罩層。此硬光罩層會具有所需的電漿姓刻性質且會作用來 分離底部和光阻層。已新程序已被稱為一三層程序。硬光 罩層未改進平面化層之表面平面性,因為硬光罩十分薄〜且 符合平面化表面。因此’其未改進微影程序寬容度,如此 對二層程序來說仍需一平面化底部層。需要一特定的電漿 蝕刻程序將樣式轉移至硬光罩層,並使用一額外的電漿蝕 刻程序將樣式進-步轉移至底部平面化層。在雙層和三層 程序中,需要一平面化底部層來提供一平面表面,在其上 可應用額外的塗覆層。 雙波紋(DD)程序,在先進1(:製程中廣泛使用之技術使得 在一金屬沈積步驟中能做二金屬層之沈積。將介電層蝕刻 一次或二次(或甚至多次,視1)1)程序之方案而定)來在介電 83889 -10· 丄: 層中建構二層樣式。若在- DD程序應用中牽涉到超過二介 電層,則可能以-作用如一餘刻停止障礙層之薄硬光罩層 來分:介電層。一旦形成DD樣式,則將一金屬互連材料: 積至樣式中。在一DD程序方法中,將一層介電材料(或光阻) 旋轉塗覆至-基板2G之表面上(圖2(aHe))。使用微影照相 來建互微結構(穿孔/槽22),其具有所需的外觀比,連同層 中足不同的特徵密度區域,如圖2(a)中所示的。基板2〇具有 區戍24其具有隔離的結構,以及一區域26,其具有稠 山刀布的特徵。如此,特徵密度在晶圓中以及橫跨基板表 面而女化。當第一平面化層28被塗覆至此形成樣式之表面 j時,地貌盡可能地深,因為特徵密度在決定最終的薄膜 厚度上扮演了關鍵的角色,如圖2(b)中所示的。在結構頂端 上的薄膜厚度在區域26中稠密特徵上比在包含隔離結構之 區域24上的薄膜厚度薄得多。結果,在一具有相同特徵密 度之區域中實現了局部平面化。然而,因為在高特徵密〜度 區域上的較薄薄膜厚度而產生凹人區域。最壞的情況中, 右塗覆的薄膜不夠厚,則在稠密特徵密度區域中之高外觀 比結構(諸如穿孔和槽)可能被部份填滿,同時在較不稠密特 徵區域中可能被第一平面化材料層28完全填滿。因此,全 域平面化在晶圓中和橫跨基板表面上不存在。 然後將一第二層3〇塗覆至平面化層28上,其缺乏全域平 面性。此第二層可為感光光阻層(供雙層程序用)或一薄硬光 罩材料(供三層程序用)。如圖2(匀中所示的,第二層3〇傾向 於具有下方層28之地貌之輪廓,且薄膜層在區域26上較厚。 83889 11 1320874 使頂端層薄膜厚度非—致性最小化和改 万法係應用-相當厚的(厚至幾微米或甚至二)下面:心一 層,並將扣趾 ^ ^ ^ 王文7子)下万平面化 :⑹供一更好的局部和全域表面平面 應用額外的層。厚的平面化層沾 在,、上可 時間,且哈I+ ° &成—更長的電漿蝕刻 刻速率需要甚高於頂端千面化層之電漿蚀 虚理% 層者。這些性質造成對程序之 至…、, 亏愿其他万法為使仿製結構建造 表面有=低的特徵密度之區$,以提供晶圓内和橫跨基板 :“、變異之特徵密度’以減輕特徵密度效應。因此, z在平面化層表面上實現一較佳的全域平面性'然 由使用仿製結構,設計和電 曰 局更设雖。該方法亦可烊 加所尚之晶圓尺寸,其是不想要的。 曰 :為微影照相程序之其他選擇,在建立微結構中已提出 :事幾個新興的微影技術’諸如壓印微影,I米壓印微 2凸出❹,打印樣式轉移等。壓印微影,奈米壓〜印 纟凸出微影利用一模鑄將樣式壓印至一基板表面 上,在其上塗覆-薄的,可流動的模铸材料。可在周園, 度或提高溫度上執料些程序^ t料表面與料材料;: 觸時’在壓印或凸出處理狀況下將材料強迫流動,且與形 成樣式之模缚表面符合。然後以—光或熱方法來將模轉材 枓加以硬化。模鑄與硬化模鑄材料分離。模鑄樣式之負樣 式被轉移至模鑄材料。若必要的話,形成樣式之表面以足 夠的參數和順序來電漿姓刻以將樣式轉移至下方層。這些 微影技術不依賴透過載有樣式之光罩(或分劃板)之光曝光2 83889 12 丄JZU6/4 將樣式轉移至光阻層》因此,D〇F並非_問題。然而,塗 覆的可流動模鑄材料需具有—十分—致的厚度,橫跨基板 表面《近乎完美之全域平面性。推論模鑄是堅固的且要被 =移之結構是十分微小的。模鑄表面需被保持為與欲形成 樣式之表面完美平行的。在模鑄材料層中之任何地貌和厚 度非-致性具有對被轉移至基板表面之最終樣式之災難性 衝擊之高度可能性。非一致性模鑄材料厚度會造成不完全 的樣式轉移十較厚的薄膜區域將被不足地蝕刻,同時 ㈣的區域將被過度_。目此,需要—全域平面基板表 面,在其上可獲得一可流動之模鑄材料層之一致厚度和全 料面表面以供樣式轉移程序之用。當將細微結構打印至 一裝置表面上時,亦需要一全域平面表面。 【發明内容】 本發明廣泛地關於新的接觸平面化方法及由那些方法形 成之岫導总構’其提供微影照相,壓印,&米壓印,和▲ 凸出微影以及打印樣式轉移程序用之全域平面表面,如此 示止或防止先前技藝之問題〇 更詳細地說’藉由首先應用(諸如藉由旋轉塗覆’喷職塗 覆’澆鑄’攪製’噴式塗覆等)一平面化層至一具有地貌特 :於"表面上之微電子基板來形成前導。對大部份的應用 以、此層(在如下面所討論之固化和接觸平面化之後)一般 將仗約〇1·10" m厚’最好從約0.1-3" m,從約(M-1#爪更 好且從約0.1·0·5" m會更好。對MEMS應用來說,平面化 層厚度-般將在從約卜麵”之範圍中,且從約卜则” 83889 -13· 1320874 更好。 典型的微電子基板之範例包括矽晶圓,合成半導體晶圓, 絕緣體上矽(SOI)晶圓,玻璃基板,石英基板,有機聚合物 基板,合成材料基板,介電基板,金屬基板,合金基板, 碳化矽基板,氮化矽基板,藍寶石基板,陶製基板,以及 由耐火材料所構成之基板。 平面化層可為一抗反射材料或光阻材料,或其可由一包 含一從由可光固化或可熱固化聚合物,單體,寡聚物,和 其之混合物以及熱塑材料所構成之群組中所選出之組成部 份之材料所形成。在材料中之單體,聚合物,和/或寡聚物 之總重量應為至少約1 %,最好從約5-1 00%,且最好從約1 0-8 0%,以平面化材料之總重取100%為基礎。適當的單體, 寡聚體和聚合物之範例包括下列之單體,寡聚體和聚合物: 諸如紛搭環氧化物之環氧化物,諸如齡越環氧化物壓克力 鹽之壓克力鹽,諸如酚醛環氧化物乙烯乙醚之乙烯乙醚, 聚酉旨,多硫亞氨,有機和無機單體/寡聚物/聚合物,以及含 有乙烯之有機和無機單體/寡聚物/聚合物,以及前述之混合 物。 材料最好進一步包含一最好存在重量從約0-99°/。大小之溶 劑,從重量約0-95%最好,且重量從約5-85%更好,以材料 之總重量取1 00%為基礎。適當的溶劑包括乙醚甲基乙二醇 丙烯(PGME),醋酸乙醚甲基乙二醇丙埽(PGMEA),乳酸乙 基,以及前述之混合物。亦可使用會在固化或硬化程序期 間與單體,寡聚物和聚合物作用之作用溶劑。這樣的溶劑 83889 -14 - 1320874 之範例包括甘油醚’乙醚乙烯,乙醚烯丙,壓克力鹽以及 碳酸丙晞。 材料最好進一步包含一從由酸,酸產生物(例如熱酸產生 物,光酸產生物)構成之群組中所選擇之組成部份,光起發 劑,熱起發劑以及界面活性劑。當存在一酸或酸產生物時, 其最好存在從重量約O.i-iO%之大小,且最好從重量約〇 5_ 5%,以材料之總重重量取1〇〇%為基礎。適當的酸或酸產生 物之範例包括從由三苯基硫磺六氟磷酸,三苯基硫磺六氟 砷酸,一苯基碘六氟磷酸,二苯基碘六氟銻酸,二苯碘六 氟砷酸,三苯硫羰六氟磷酸,羥氧硫羰六氟磷酸,四氨 二壬莕硫酯和甲苯硫酸所構成之群 triflate,聚合硫酸酯, 量從約0.1-10%之大小, 重量取100%為基礎》適 括從由經基酮,氳望西同 組中所選擇者》亦可以驗或驗產生物來取代酸或酸產生物。 田光起發劑或熱起發劑存在時,其最好個別存在為重 且最好重量從約0_5-5%,以材料之
5 0-250°C 達約 5-600秒以 藉由使塗覆物體受到— 移除剩餘溶劑。或者,剩餘溶劑可 真空作用達約5至600秒之方式加以 83889 -15· 1320874 移除。然後材料與一平坦物體接觸達足夠的時間和壓力以 將物體之平坦表面轉移至平面化層,且允許塗覆過的材科 流至凹入區域中。平坦物體可由石英,矽,玻璃,金屬, 合金,陶,聚合物等構成。接觸或壓製步驟一般將包含從 約1-1,〇〇〇!^之應用壓力,最好從約5_25〇1^,且從約1〇_1〇〇 psi更好,達一從約丨秒至約12〇分鐘之時間,最好從約3秒至 約1 0分鐘,且從約]〇秒至約5分鐘更好。 此程序可在一被排空至少於周圍氣壓之腔室中執行,但 周圍狀況,提高的壓力,以及一人工氣壓亦適當。應了解 一光平坦或一些等效裝置可用來應用此氣壓,以及必須選 擇應用所選擇壓力之裝置來適應特定程序(例如若要利用— UV固化程序的話一uv透明光平坦是必要的可在大氣壓, 一鈍氣壓(包含諸如氮,氬等之氣體),或一特定氣壓(包含 諸如有機溶劑蒸汽,含有矽之蒸汽,水蒸汽,氧等物體)^ 處理壓製程序。 二 接觸步驟一般在從約周圍溫度至約35(rc之溫度上執行。 對可光固化平面材料來說,應將壓製溫度控制在約周圍溫 度至約250。(:之範圍中,最好在約周圍溫度至約1〇〇。〇之範 圍中,且在約周圍溫度至約5〇°c之範圍中是更好的。對可 熱固化平面材料來說,應將壓製溫度控制在約周圍溫度至 約350 C之範圍中,最好從約50-25(TC,且從约50-200°c是 更好的。 & 對熱塑平面材料來說,應將壓製溫度控制在從約周圍溫 度至約材料之熔點以上5(rc之範圍中,最好從約材料之破 83889 • 16 - 1320874 璃轉化溫度(Tg)以下20°C至約材料之熔點以上i(TC。在接觸 步踢之後’將基板冷卻至約其Tg以下,且最好約丁g以下2 〇 °C或約50°C或至少約其TgT20°C (視哪一個較低而定)。若合 成物為熱塑,且允許其如上面所討論般藉由冷卻來硬化或 固化》 在光學平面物體與基板維持接觸之同時(及/或在接觸之 後)’以傳統方式來硬化或固化平面化材料。例如,若合成 物為可光固化的,則其受到UV光線作用(以適於該特定合成 物之波長)以固化該層。同樣地,若合成物為可熱固化的, 則藉由加熱(例如透過-熱板’透過-烤爐,透過IR加熱,輻 射加熱等),之後冷卻至小於丁8來將其固化,且最好冷卻至 小於其Tg以下約50°C或至少約20°C (視何者較低決定)。若合 成物為熱塑,則允許其如上面所討論般藉由冷卻來硬化或 固化。 - 洪論所使用的材料為何,一旦已硬化或固化平面材料' 則基板與該平坦物體分離。如此將平坦物體表面之平面性 轉移至基板表面以提供全域平面性。然後可將一具有一致 薄膜厚度和全域平面性之第二塗覆層(諸如一成像層)應用至 平面化表面上。適當的成像層包括光阻層,壓製層,以及 打印的樣式。再者,在應用成像層之前可應用一或多個選 項性的中間層(例如光罩層,位障層,抗反射層卜在一實施 例中’中間層基本上是沒有金屬的(即包含少於約請5〇/。重 量之金屬,且最好約〇%重量之金屬)。 然後在成像層中根據已知的程序建立一樣式並將之轉 83889 •17· 1320874 移至下方層。例如若成像層為一光阻’然層被選擇性地曝 光至uv光線’且以-傳統的光阻生成器來生成以形成樣 式將體會到此生成步驟亦將選擇性地移除(視樣式而定)任 何申間層’以及基板下方之平面化層同時留下至少一部 份的原始基板地貌原封不動。若成像層為一壓印層,則一 具有所要的樣式之負像將壓印層壓製以在其中形成所要的 樣式。然後透過壓印層,中間層,以及平面化層來姓刻樣 式以轉移樣式。類似地,若樣式為一打印樣式,則打印樣
式被$4刻以透過平面化層央A* -V 均术轉移樣式。可重覆上面的步驟 以在前導上形A-第二“堆叠”,4可使前導受到進一步已 知的處理作用。 參考圖3(a)’顯示基板32〇甚刼 、 土微w基板32在包括一在其上具有結 構或特徵34之表面。這此处拔+ 41 - 二…構或特徵34將具有變化的地貌 和特徵尺寸,視前導之最抹預金 二 取、頂疋用途而疋。當在此使用時, “地貌,,意指一結構之高彦式,、军在 π ^ 度次/衣度,同時“特徵尺寸”意指一 結構之寬度和長度。甚官译、 見度和長度不同,則傳統上稱較小 數為特徵尺寸。 使用一傳統程序(參看圖3胳一单品> ^丄丨 哨口 册干面化材料36應用至基 板32。在先前所描述之程庠拙 狂序狀况下將一諸如在一光平坦(未 顯示)之者之平坦表面盥材料I〗 ”何料36接觸,在平坦表面之固化/硬 化和與材料36分離之後,6士里— 便結果在材料36上產生一全域平面 表面38。如此,全诚单品主:。。.# -干面表面38準備好供隨後層之應用之 用’諸如光阻層40,其可以一钤—士 λ 致的万式加以應用(圖3(d))。 83889 1320874 參考圖4⑷,顯示基板42。基板 c估具有形成於其中 之〜構或特徵44之表面。基板42具有— Μ ,. έ.,. '哥 E域46,其具有隔 離的.··《構,以及一具有稠密特徵之 止> 4 ^喝48。廷已避免了如 先則4娜足先前技藝方法之問題。 使用傳統程序將一平面化材料 灯川應用至基板42(參看圖 4(b))。將諸如一光平坦(未顯^ ^ ^ ^ ^ ^ ^ 7 <十坦表面與材料50於 先則所描述的程序狀況下接觸,在平坦表面之固化/硬化和 與材料50之分離(參看圖4⑷)之後,結果在材料5〇上產生一 全域平面表面^如此,如圖4⑷中所示的,全域平面表面 52準備好供應用隨後的層之用’諸如光阻層η,其可以一 致的方式加以應用。 當在此使用時…全域平面表面預定為指稱—厚度“丁”(參 看圖4(d))變化少ι㈣%之層,且最好在㈣,刪心之距 離上小於約—5%。本發明之方法結果產生—這樣的全域平面 性(亦稱為薄膜厚度變異)。再者,根據本發明所形成之固;匕 的或硬化的平面化層在任何個別的地貌特徵或結構會具有 一少於約250 Α之地貌,且最好小於約15〇 Α。最後,所發 明的固化或硬化平面化層會具有一小於約6〇〇人之地貌最 好小於約500 Α,且最好在一約1〇,〇〇〇//m之距離上(基板表 面長度)小於約400 A,其中在此距離上至少存在二個不同 的特徵密度區域。 範例 下列範例提出根據本發明之較佳方法。然而,應了解到 這些範例係以說明之方式所提供的,且其中内容不應被認 83889 •19- 丄 為限制本發明之總體範圍。 範例1 在一穿孔晶圓上之可光固化平面材料 3藉由在黃光實驗室中完全混合^之環氧化物卿 、ς 以^打0.),8^之 PGME(Aldrich)以及 L2g Μ ΚΙ·85(—可由SartGmei·獲得之光酸產生物)來製備 —可光固化之材料。蚨接一 …、 〇.2a m過濾器來過濾材料並 將 < 儲存於一乾淨的褐瓶中。 G。穿孔& 0晶圓來做為基板。石夕晶圓首先被塗 ::-具有約一之厚度之二氧切薄膜。一具有 徵岔度且具有直;^ 〇 2 5 1 , ,. —% ' 1/Zm《穿孔之區域之樣式被形成於 一乳化矽薄膜中。穿孔深度為約 韓::具有約〇一之厚度之可光固化平面材料之薄膜旋 轉塗覆至具有不同樣式特徵密度區域之穿孔晶圓上。晶圓 破轉移至一壓製工具腔室且被放置在-基板臺上。平面; ^頂端表面被定位來面對一光透明光平坦物體表面。壓 氣工具腔室蓋子被密封’且腔室被淨空至少於20陶爾。腔 至壓力保持在少於20陶爾達約3〇秒以移除剩餘的溶劑。基 板堂被提高以允許基板表面以一 _〆之力量與光平_ 面接觸達30秒。在基板表面於一 68㈣之壓力與光平坦表面 維持接觸之同時’ -脈衝紫外線(uv)光線透過光平^表面 被知'射以固化平面材科β υν>^線之脈衝循環為丨秒開和, 關,總曝光時間3秒。在曝光之後,壓製工具腔室從少於 陶爾排放(執行整㈣製程序之腔室壓力)至大氣壓力。降低 83889 -20· 1320874 基板堂’且打開腔室蓋子。基板與光平坦表面分離且從腔 至移除以供特性化之用。 使用除了壓製步驟之外的相同處理狀況來將其他穿孔晶 圓塗覆以相同的材料。亦製備此额外的晶圓並加以特性化 且用來做為一參考晶圓。 以一 Tencor Alphastep輪廓形成器來特性化平面化過的穿 孔晶圓表面。獲得一在平面化結構上以及橫跨相鄰特徵密 度區域之小於2〇〇 A之地貌。使用一聚焦離子束(FJB)顯微鏡 來測量在不同特徵密度區域中之結構頂端上之平面薄膜厚 度。測量二個特徵密度區域。測量在一具有約〇3心直徑 穿孔以及約0.5 /z m地貌之區域中之結構頂端上的薄膜厚 度,以及在-具有約0.3㈣直徑穿孔以及約⑺心地貌之 區域中之薄膜厚度。在二區域中所測量之高結構(非在穿孔 之頂端上)之頂端上的薄膜厚度分別約為〇 Μ心以豕 0.39" 測量在具有與和在㈣晶圓中者相同之特徵密^ 之區域上之參考晶圓薄膜厚度。參考晶圓薄膜厚度分別為 約 0.25# m 和 0.44# m » 範例2 在一槽晶圓上之可光固化之平面化材料 藉由在黃光實驗室中完全混合2〇 g之環氧化物(DU 354LVjD〇w Chemical Co.) , 8〇 PGME(Aldnch)! 2 g 之Wat KI-85(Sart0mer)來製備—可光固化之材料。然後 以一 0.2 μ m過濾器來過濾材料並將 竹卫將 < 儲存於—乾淨的褐瓶 中。 83889 -21· 晶圓 '姓u 1 “ m/衣〈槽結構之矽晶圓來做為基板。此 阳圓《特徵密度範圍從4%至96%。 至二:。曰·5::厚:可光固化平面化材料之薄膜被旋轉塗覆 至“括不同的特徵密度區域。晶圓被轉移 …具腔室且被置於一基板臺上。將塗覆的基板表 六:為面對—光學透明的光平坦物體表面。腔室蓋予被 ··、’且腔至被淨空為小於20陶爾。腔壓維持在小於20陶 爾達約30秒以移除殘餘的溶劑。基板臺被升起來以一约68 PS丨疋力里允許基板表面與光學平坦表面接觸達3〇〇秒。在基 2表面以68 psi之壓力與光學平坦表面接觸之同時,透過光 學平坦表面照射一 uv光線達10秒以固化平面化材料。在曝 光後,基板臺被降低。壓製工具腔室從少於20陶爾(整個壓 製私序執行之腔室壓力)排放至大氣壓。腔室蓋子打開,且 晶圓從光學—平坦表面分離且將之從腔室移除以供特性化。、 —ί 使用除了壓製步驟之外的相同的處理狀況來以相同的材 料塗覆其他槽晶圓。將此晶圓製備為一參考。 以一 Tencor Alphastep輪廓形成器來特性化平面化過的槽 晶圓表面。橫跨結構來測量一約250 A之表面地貌,且橫跨 相鄰的特徵密度區域來測量不超過約5〇 A之地貌改變。參 考晶圓顯現横跨地貌結構約7000 A之測量表面地貌。使用 一掃瞄電子顯微鏡(SEM)來測量在平面化晶圓之不同的特徵 密度區域中之平面化薄膜厚度。測量在特徵密度區域上之 薄膜厚度,其表示在特徵密度中之約40%之最大差異。非在 槽上之結構頂端上之薄膜厚度,在二特徵密度區域中為約 S3889 •22- 1320874 0.45// m’具有約〇.〇i2;czm( 120 A)之厚度差異。 範例3 在一穿孔晶圓上之可熱固化之平面化材料 藉由混合20 g環氧化物(d.E.R. 354LV,The Dow Chemical Co.)’ 80 g 的 PGME(Aldrich)以及 1·〇 g 的 NACURE 超級 xc_ A230催化劑(一種熱酸產生物,可由King化加价丨以獲得)來 製備一可熱固化材料,完全地製備和混合。以一 〇.2以m過 濾器來過濾材料並將之儲存在一乾淨的褐瓶中。 使用一包含穿孔之矽晶圓做為基板。首先將矽晶圓塗覆 以具有約厚度之二氧化石夕薄膜。將一包含〇2至l#m 直徑之穿孔以及具有不同特徵密度區域之樣式形成至二氧 化石夕薄膜上。穿孔深度為約1 V m。 將一具有約0.2 厚度之可熱固化平面化材料之薄膜旋 轉塗覆至具―有不同特徵密度區域之矽穿孔晶圓上。該晶舅 被轉移至一壓製工具腔室且被放置在一基板臺上。被塗覆 以平面化材料之基板表面被定位為面對一光學透明之光平 I物體表面。將腔室壓力保持在小於2〇陶爾達6〇秒以移除 剩餘的溶劑。提高基板臺以允許基板表面以一約68 psi之力 量與光平坦表面接觸達60秒。在基板表面以一68 psi之壓力 維持與光平坦表面之接觸之同時,透過光平坦表面照射一 脈衝uv/紅外線加熱光以在至少約13()β(:之固化溫度上固化 平面化材料達210秒。在固化程序之後,將壓製工具腔室從 少於20陶爾排放至大氣壓。基板臺被降低,且腔室蓋子被 打開基板與光平坦表面分離且從腔室移除以供特性化。 83889 •23· 1320874 以一 Tencor Alphastep輪廓形成器來特性化平面化的穿孔 晶圓表面。測量橫跨相鄰特徵密度區域之小於1〇〇 A和小於 約300 A之平面化結構上之表面地貌。使用一 SEm來測量在 不同特徵密度區域中之結構上的平面化薄膜厚度。測量二 個特徵密度區域。測量在一具有約〇.3ym直徑穿孔以及約 〇_5 " m深度之區域中之結構頂端上的薄膜厚度。亦在一具 有約0.3 # m穿孔以及約1,75 測量薄膜厚度。 μ m。 # m深度之區域中之結構頂端上 所測量的薄膜厚度分別為約0.19心和0.21 l _八間單說明】 圖1(a)-⑷說明-先前技藝平面化程序之步驟· ⑷說明其他先前技藝平面化程序之步驟,… 板具有二個不同的特徵密度區域; ,、^ 圖3⑷-(d)說明根據發明方法之 驟;以及 径觸千面化程序之f 圖4(a)-(d)說明方法之 驟,其中基板且有-個要觸千面化程序之步 ”有一個不同的特徵密度區域。 ίο基板 【圖式代表符號說明】 12地貌 14平面化材料 1 6穿孔和槽 基板 22穿孔/槽 83889 ,24· 1320874 24,26區域 2 8平面化層 30第二層 32基板 34結構或特徵 36平面化層料 38全域平面表面 40光阻層 42基板 46區域 44結構或特徵 4 8區域 50平面化材料 52全域平> 表面 5 4光阻層 -25 - 83889

Claims (1)

1320874 第092104210號專利申請案 中文申請專利範圍替換本(98年9月)_______ . 拾、申請專利範圍: K年?月α曰修(更)正替換頁 1. 一種形成一微電子前導之方法,該方法包含下列步驟: (a) 提供一基板,其具有一表面且包括複數個在該表面 上之地貌特徵; (b) 在該表面上形成一平面化層,該平面化層包含一由 聚a物、單體、券聚物、或混合物所構成之群組中所選擇 之化合物,該混合物由環氧樹脂、丙烯酸脂、乙烯醚、聚脂、 聚烯亞胺、含乙烯基之化合物、及其混合物所組成; (c) 以一物體之平面表面接觸平面化層以將平面表面 之平坦轉移至平面化層,在該接觸期間或之後固化或硬化 該平面化層,該經平面化固化或硬化之平面化層呈現一準 備用於接續層的應用之全域平面表面; (d) 選擇性地形成一或多個中間層於該平面化層之該 全域平面表面上; (6)形成一成像層以得到微電子前導,若存在該中間層 的話,該成像層係形成於該中間層上,或若不存在中間層 的話,則形成在該平面化層上,並在無須該平面化層之該 全域平面表面的改變下完成該步驟((1)及(e); (f) 在該成像層上建立一樣式;及 (g) 若存在的話將該樣式轉移至該中間層,以及至該平 面化層,其中在該轉移步驟之後’該基板表面保留其原始 地貌至少一部份。 2.如申請專利範圍第丨項之方法,該平面化層進一步包含—由 酸、酸產生物、驗、驗產生物、表面活性劑、光起發劑' 83889-980915.d« 7月〜,楚)正賴f! 熱起發劑、及其混之組成部份。 3.如申請專利之方法,其中該固化或硬化步驟包含 使該平面化層受uv光線作用彡一從1#、i120分鐘的時間 以固化該合成物。 4·如申請專利範圍第1之方法,其中該固化或硬化步驟包含 將亥平面化層加熱達_從i秒至12〇分鐘的時間和—從大約 5(TC至大約减的溫度來硬化該平面化層。、 5·如申請專利範圍第4項之方法,其中該固化或硬化步驟包含 將該平面化層冷卻至約其Tg以下。 6. 如申請專利範圍第4項之方 熱源來加熱該平面化層。 7. 如申請專利範圍第4項之方 加熱該平面化層。 法,其中該加熱包含使用一輻射 法’其中該加熱包含使用IR熱來 8. 如申請專利範圍第1之方法,其中在真空下執行步驟⑷。 9. 如申請專利範圍第1之方法,其中步驟⑷係於一包含由 氮、氬、及其混合物所構成之群組中所選擇之氣體的人工 氣壓下執行。 1〇.如申請專利範圍第旧之方法,其中該接觸步驟係以一從 約1-1,000 psi之壓力應用加以執行。 U.如申請專利範圍第1項之方法,其中將該接觸步驟執行達 一從約1秒至約12 〇分鐘之時間。 如申請專利範圍第旧之方法,其中存在有—或多個中間 層’且各中間層基本上係無金屬。 13.如申请專利範圍第1項之方法,其中: 83889-980915.doc 1320874 該成像層包含一光阻層;[^年f辦蚊ι“·-⑽頁 該建立步帮包含選擇性地將該光阻層之部份曝露至uv 光線;以及 該轉移步料含形成該絲層,料層存在的話, 形成該中間層,以及形成該平面化層。 14. 如申請專利範圍第1項之方法,其中·· 該成像層包含一壓印層; 該建立步驟包含以該墨印層接觸—負像,該負像具有一 包含樣式負像之壓印表面;以及 該轉移步驟包含若該中間層存在的話,透過料間層蝕 刻該樣式’以及該平面化層。 15. 如申請專利範圍第1項之方法,其中: 該成像層包含一打印之樣式;以及 該轉移步驟包含若該中間層存在的話,透過該中間層蝕 刻該樣式’以及該平面化層。 16·如申明專利範圍第丨項之方法,其進一步包括在該微電子 則導上重覆步驟(a)-(g)至少二者之步驟。 17·如申請專利範圍第1項之方法,其中存在至少一中間層, 該中間層係選自由光罩層、位障層、和抗反射層構成之群 組0 18. 如申請專利範圍第丨項之方法,其中步驟(c)造成一平面化 層,其在任何個別基板地貌特徵上具有一小於250 A之地貌 特徵。 19. 如申明專利範圍第丨項之方法,其中步驟(c)造成一平面化 83889-980915.doc 1320874 層,其在約10,000μιη之基板表面長度上具有少於約6〇〇 a 之地貌,在該處至少有二不同的特徵密度區域存在於該基 板表面長度上。 20. 如申請專利範圍第1項之方法,其中步驟造成—具有一 大約從0.1至ΙΟμιη厚度之平面化屬。 21. 如申請專利範圍第!項之方法,其中該物體包含一光平坦。 22. —種微電子前導物結構,包含: 一具有一表面和在該表面上有複數個地貌特徵之基 板,-平面化層從一由聚合物、單體、募聚物、或混合物 所構成之群組中所選擇之化合物的組合所形成,該混合物 由環氧樹脂、丙烯酸脂、乙烯醚、聚脂、聚烯亞胺、含乙 烯基之化合物、及其混合物所組成; 在該表面上之全域平面的、固化的或硬化的平面化 層; 在該平面化層上選擇性的一或多個中間層;以及
右存在該中間層的話’ 一在該中間層上之成像層,或若 無存在該中間層的話,一在該平面化層上之成像層。 如申-月專利範圍第22項之結構,其中該基板係從由矽晶 ^、化合物半導體晶圓、絕緣體切晶圓、玻璃基板、石 央基板、有機聚合物基板、合成材料基板、介電基板、金 屬基板σ金基板、碳化矽基板、氮化矽基板、藍寶石基 陶製基板、以及由耐火材料構成之基板構成之群組中 選擇。 24.如申請專利範圍第22項 之結構’該合成物進一步包含一由 83889-9809l5.doc 年t月ι厂日修.(更)正替j λ產生物、鹼、驗產生物、界面活性劑、光起發劑' 熱起發劑及其之混合物所構成之群組中選擇之組成部份。 25. 如U利圍第22項之結構,其中存在至少—中間層, 該中間層係從由光罩層、障礙層、和抗反射層構成之群組 中選擇。 26. 如申睛專利範圍第22項之結構,其中該平面化層具有一從 約〇·1-1〇μηι之厚度。 士申叫專利範圍第22項之結構,其中該組合係一微電機系 、·先m構且該平面化層具有一從約1 _ 1,〇〇〇μιη之厚度。 28. 如申請專利範圍第27項之結構,其中存在至少—中間層, 該令間層係從由光罩層、障礙層、和抗反射層所構成之群 組選擇出來。 29. 如申二專利範圍第22項之結構,其中該成像層係從由光阻 層、壓印層和打印層構成之群組中選擇出來。 3〇·如申請專利範圍第22項之結構,該平面化層在約1〇,〇〇〇_ 之距離上具有一少於約1〇%之薄膜厚度變異。 31. 如申請專利範圍第22項之結構,其中該平面化層在任何個 別基板地貌特徵上具有少於約25〇人之地貌。 32. 如申請專利範圍第22項之結構,其中該平面化層在—約 1〇,〇〇0卜111之基板表面長度上具有一少於約6〇〇人之地貌,其 中在該基板表面長度上存在至少二不同的特徵密度區域。 33· 一種形成-微電子前導物之方法,該方法包含下列步驟: (a)提供一基板,其具有一表面且在該表面上包括複數 個地貌特徵; 83889-980915.doc 1320874
該平面化層包含一由 月(Λ修(更)正替換^ 在該表面上形成一平面化層, 聚合物、單體、寡聚物、或混合物所構成之群組中所選擇 之化合物,該混合物由環氧樹脂、丙烯酸脂、乙烯醚、聚脂、 聚烯亞胺、含乙烯基之化合物、及其混合物所組成;
(c)將平面化層與一物體之平坦表面接觸以將平坦表 面之平坦轉移至平面化層,在該接觸期間或之後固化或硬 化該平面化層,該經平面化固化或硬化之平面化層呈現一 準備用於接續層的應用之全域平面表面; (d) 在該平面化層之該全域平面表面上選擇性地形成 一或多個中間層,該中間層基本上係無金屬;以及 (e) 形成一成像層以得到微電子前導物,若該中間層存 在的話,該成像層係形成於該中間層上,或若無該中間層 的話,該成像層形成於該平面化層上,並在無須該平面化 層之該全域平面表面的改變下完成該步驟(d)及(e)。
34.如申請專利範圍第33項之方法,其中步驟(e)造成一具有 一大約從0.1至ΙΟμηΐ厚度之平面化層。 35·如申請專利範圍第33項之方法,其中該物體包含一光平 坦〇 36.—種形成一微電子前導物之方法,該方法包含下列步驟: (a) 提供一基板,其具有一表面且在該表面上包括複數 個地貌特徵; (b) 在該表面上形成一平面化層,該平面化層包含一由 聚合物、單體、募聚物、或混合物所構成之群組中所選擇 之化合物,該混合物由環氧樹脂、丙烯酸脂、乙烯醚、聚脂、 83889-980915.doc /4 货年7瓜/·曰修(更)正替換自 · .1 | ||_、!_ 一― J 聚烯亞胺、含乙烯基之化合物、及其混合物所組成; (C)將平面化層與一平坦物體之平坦表面接觸以將平 坦表面之平坦性轉移至平面化層,在該接觸期間或之後固 化或硬化該平面化層,該經平面化固化或硬化之平面化層 呈現一準備用於接續層的應用之全域平面表面; (d) 選擇性地在該平面化層之該全域平面表面上形成 一或多個中間層;以及 (e) 形成一成像層以得到微電子前導物,若該中間層存 在的話,該成像層係形成於該中間層上,或若無該中間層 存在的話,該成像層形成於該平面化層上,並在無須該平 面化層之該全域平面表面的改變下完成該步驟(d)及(e)。 37. 如申請專利範圍第36項之方法,其中步驟(c)造成一具有 一大約從0 · 1至1 〇 μιη厚度之平面化層。 38. 如申請專利範圍第36項之方法,其中該物體包含一光平 坦0 39· —種微電子前導物結構,包含: 一微電子基板,其具有一表面和在該表面上之複數個地 貌特徵; 一在該表面上之全域平面的、固化的或硬化的平面化 層,該平面化層包含一由聚合物、單體、寡聚物或混合 物所構成之群組中所選擇之化合物’該混合物由環氧樹 脂、丙烯酸脂、乙烯醚、聚脂、聚烯亞胺、含乙烯基之化 合物、及其混合物所組成; 在該平面化層上之選擇性一或多個中間層,該中間層基 83889-980915.doc 1320874 本上係無金屬;以及 月//日修(更)正替佚屮 若存在該中間層的每 、Λ + β ’ 一在該中間層上之一成像層,若 >又有該中間層存在的 _ ^ 略’―在該平面化層上之一成像層。 40.如申請專利範圍第 弟39項之結構,其中步驟(c)造成一具有 一大約從〇 · 1至1 0 厚度之平面化層。
83889-980915.doc 8 - 1320874 拾壹、圖式:fr年了刖’曰吻更)正替换爽
圖 1(b)
18 圖 1(c) 83889-fig-980915.doc 1320874 月//¾修(筻)正替设$ -26 24
22 20 II 圖 2(a) 24 -26 28 20
圖 2(b)
20 圖 2(c) 83889-fig-980915.doc 1320874 月丨广曰修(1)止替p
圖 3(a)
圖 3(b)
圖 3(c)
圖 3(d) 83889-fig-980915.doc 1320874
83889-fig-980915.doc
TW092104210A 2002-02-27 2003-02-27 Novel planarization method for multi-layer lithography processing TWI320874B (en)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US36037402P 2002-02-27 2002-02-27
US10/373,897 US7455955B2 (en) 2002-02-27 2003-02-24 Planarization method for multi-layer lithography processing

Publications (2)

Publication Number Publication Date
TW200405122A TW200405122A (en) 2004-04-01
TWI320874B true TWI320874B (en) 2010-02-21

Family

ID=27767596

Family Applications (1)

Application Number Title Priority Date Filing Date
TW092104210A TWI320874B (en) 2002-02-27 2003-02-27 Novel planarization method for multi-layer lithography processing

Country Status (6)

Country Link
US (1) US7455955B2 (zh)
EP (1) EP1485949A4 (zh)
JP (1) JP4990479B2 (zh)
AU (1) AU2003217804A1 (zh)
TW (1) TWI320874B (zh)
WO (1) WO2003073164A2 (zh)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10663863B2 (en) 2015-10-23 2020-05-26 Samsung Sdi Co., Ltd. Method of producing layer structure, and method of forming patterns

Families Citing this family (146)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6873087B1 (en) * 1999-10-29 2005-03-29 Board Of Regents, The University Of Texas System High precision orientation alignment and gap control stages for imprint lithography processes
EP1303792B1 (en) * 2000-07-16 2012-10-03 Board Of Regents, The University Of Texas System High-resolution overlay alignement methods and systems for imprint lithography
KR100827741B1 (ko) * 2000-07-17 2008-05-07 보드 오브 리전츠, 더 유니버시티 오브 텍사스 시스템 임프린트 리소그래피 공정을 위한 자동 유체 분배 방법 및시스템
US20050274219A1 (en) * 2004-06-01 2005-12-15 Molecular Imprints, Inc. Method and system to control movement of a body for nano-scale manufacturing
US20060005657A1 (en) * 2004-06-01 2006-01-12 Molecular Imprints, Inc. Method and system to control movement of a body for nano-scale manufacturing
EP1352295B1 (en) * 2000-10-12 2015-12-23 Board of Regents, The University of Texas System Template for room temperature, low pressure micro- and nano-imprint lithography
US6964793B2 (en) * 2002-05-16 2005-11-15 Board Of Regents, The University Of Texas System Method for fabricating nanoscale patterns in light curable compositions using an electric field
US7666579B1 (en) * 2001-09-17 2010-02-23 Serenity Technologies, Inc. Method and apparatus for high density storage of analog data in a durable medium
US7455955B2 (en) 2002-02-27 2008-11-25 Brewer Science Inc. Planarization method for multi-layer lithography processing
US7037639B2 (en) * 2002-05-01 2006-05-02 Molecular Imprints, Inc. Methods of manufacturing a lithography template
US20030235787A1 (en) * 2002-06-24 2003-12-25 Watts Michael P.C. Low viscosity high resolution patterning material
US7019819B2 (en) * 2002-11-13 2006-03-28 Molecular Imprints, Inc. Chucking system for modulating shapes of substrates
US7077992B2 (en) * 2002-07-11 2006-07-18 Molecular Imprints, Inc. Step and repeat imprint lithography processes
US6908861B2 (en) * 2002-07-11 2005-06-21 Molecular Imprints, Inc. Method for imprint lithography using an electric field
US7070405B2 (en) * 2002-08-01 2006-07-04 Molecular Imprints, Inc. Alignment systems for imprint lithography
US7071088B2 (en) * 2002-08-23 2006-07-04 Molecular Imprints, Inc. Method for fabricating bulbous-shaped vias
US20040065252A1 (en) * 2002-10-04 2004-04-08 Sreenivasan Sidlgata V. Method of forming a layer on a substrate to facilitate fabrication of metrology standards
US8349241B2 (en) * 2002-10-04 2013-01-08 Molecular Imprints, Inc. Method to arrange features on a substrate to replicate features having minimal dimensional variability
US6980282B2 (en) * 2002-12-11 2005-12-27 Molecular Imprints, Inc. Method for modulating shapes of substrates
US7641840B2 (en) * 2002-11-13 2010-01-05 Molecular Imprints, Inc. Method for expelling gas positioned between a substrate and a mold
US6871558B2 (en) * 2002-12-12 2005-03-29 Molecular Imprints, Inc. Method for determining characteristics of substrate employing fluid geometries
US20040168613A1 (en) * 2003-02-27 2004-09-02 Molecular Imprints, Inc. Composition and method to form a release layer
US7452574B2 (en) * 2003-02-27 2008-11-18 Molecular Imprints, Inc. Method to reduce adhesion between a polymerizable layer and a substrate employing a fluorine-containing layer
US7323417B2 (en) * 2004-09-21 2008-01-29 Molecular Imprints, Inc. Method of forming a recessed structure employing a reverse tone process
US7186656B2 (en) * 2004-05-21 2007-03-06 Molecular Imprints, Inc. Method of forming a recessed structure employing a reverse tone process
US7179396B2 (en) * 2003-03-25 2007-02-20 Molecular Imprints, Inc. Positive tone bi-layer imprint lithography method
US7122079B2 (en) * 2004-02-27 2006-10-17 Molecular Imprints, Inc. Composition for an etching mask comprising a silicon-containing material
US6864181B2 (en) * 2003-03-27 2005-03-08 Lam Research Corporation Method and apparatus to form a planarized Cu interconnect layer using electroless membrane deposition
US7396475B2 (en) * 2003-04-25 2008-07-08 Molecular Imprints, Inc. Method of forming stepped structures employing imprint lithography
US7157036B2 (en) * 2003-06-17 2007-01-02 Molecular Imprints, Inc Method to reduce adhesion between a conformable region and a pattern of a mold
US7307118B2 (en) 2004-11-24 2007-12-11 Molecular Imprints, Inc. Composition to reduce adhesion between a conformable region and a mold
US20050160934A1 (en) 2004-01-23 2005-07-28 Molecular Imprints, Inc. Materials and methods for imprint lithography
US7790231B2 (en) * 2003-07-10 2010-09-07 Brewer Science Inc. Automated process and apparatus for planarization of topographical surfaces
CN100573318C (zh) 2003-09-17 2009-12-23 富士胶片株式会社 光敏平版印刷版及其制备方法
US7136150B2 (en) * 2003-09-25 2006-11-14 Molecular Imprints, Inc. Imprint lithography template having opaque alignment marks
US8211214B2 (en) * 2003-10-02 2012-07-03 Molecular Imprints, Inc. Single phase fluid imprint lithography method
US7090716B2 (en) * 2003-10-02 2006-08-15 Molecular Imprints, Inc. Single phase fluid imprint lithography method
US7064069B2 (en) * 2003-10-21 2006-06-20 Micron Technology, Inc. Substrate thinning including planarization
US6940181B2 (en) 2003-10-21 2005-09-06 Micron Technology, Inc. Thinned, strengthened semiconductor substrates and packages including same
US20050170670A1 (en) * 2003-11-17 2005-08-04 King William P. Patterning of sacrificial materials
EP1538482B1 (en) 2003-12-05 2016-02-17 Obducat AB Device and method for large area lithography
US20050156353A1 (en) * 2004-01-15 2005-07-21 Watts Michael P. Method to improve the flow rate of imprinting material
US7731492B2 (en) * 2004-09-10 2010-06-08 S.C. Johnson & Son, Inc. Fuel charge for melting plate candle assembly and method of supplying liquefied fuel to a wick
US8076386B2 (en) * 2004-02-23 2011-12-13 Molecular Imprints, Inc. Materials for imprint lithography
US7906180B2 (en) 2004-02-27 2011-03-15 Molecular Imprints, Inc. Composition for an etching mask comprising a silicon-containing material
US20050189676A1 (en) * 2004-02-27 2005-09-01 Molecular Imprints, Inc. Full-wafer or large area imprinting with multiple separated sub-fields for high throughput lithography
US7435074B2 (en) * 2004-03-13 2008-10-14 International Business Machines Corporation Method for fabricating dual damascence structures using photo-imprint lithography, methods for fabricating imprint lithography molds for dual damascene structures, materials for imprintable dielectrics and equipment for photo-imprint lithography used in dual damascence patterning
GB2413895A (en) 2004-05-07 2005-11-09 Seiko Epson Corp Patterning substrates by ink-jet or pad printing
EP1594001B1 (en) 2004-05-07 2015-12-30 Obducat AB Device and method for imprint lithography
US20050276919A1 (en) * 2004-06-01 2005-12-15 Molecular Imprints, Inc. Method for dispensing a fluid on a substrate
US20050275311A1 (en) * 2004-06-01 2005-12-15 Molecular Imprints, Inc. Compliant device for nano-scale manufacturing
DE602005022874D1 (de) * 2004-06-03 2010-09-23 Molecular Imprints Inc Fluidausgabe und tropfenausgabe nach bedarf für die herstellung im nanobereich
US20070228593A1 (en) * 2006-04-03 2007-10-04 Molecular Imprints, Inc. Residual Layer Thickness Measurement and Correction
US7205244B2 (en) * 2004-09-21 2007-04-17 Molecular Imprints Patterning substrates employing multi-film layers defining etch-differential interfaces
US7252777B2 (en) * 2004-09-21 2007-08-07 Molecular Imprints, Inc. Method of forming an in-situ recessed structure
US7241395B2 (en) * 2004-09-21 2007-07-10 Molecular Imprints, Inc. Reverse tone patterning on surfaces having planarity perturbations
US7547504B2 (en) * 2004-09-21 2009-06-16 Molecular Imprints, Inc. Pattern reversal employing thick residual layers
US7041604B2 (en) * 2004-09-21 2006-05-09 Molecular Imprints, Inc. Method of patterning surfaces while providing greater control of recess anisotropy
US7472576B1 (en) 2004-11-17 2009-01-06 State Of Oregon Acting By And Through The State Board Of Higher Education On Behalf Of Portland State University Nanometrology device standards for scanning probe microscopes and processes for their fabrication and use
WO2006060757A2 (en) * 2004-12-01 2006-06-08 Molecular Imprints, Inc. Eliminating printability of sub-resolution defects in imprint lithography
US7811505B2 (en) * 2004-12-07 2010-10-12 Molecular Imprints, Inc. Method for fast filling of templates for imprint lithography using on template dispense
US20060145398A1 (en) * 2004-12-30 2006-07-06 Board Of Regents, The University Of Texas System Release layer comprising diamond-like carbon (DLC) or doped DLC with tunable composition for imprint lithography templates and contact masks
US7523701B2 (en) * 2005-03-07 2009-04-28 Asml Netherlands B.V. Imprint lithography method and apparatus
KR101366793B1 (ko) * 2005-04-19 2014-02-25 닛산 가가쿠 고교 가부시키 가이샤 광가교 경화의 레지스트 하층막을 형성하기 위한 레지스트 하층막 형성 조성물
US20060277863A1 (en) * 2005-06-08 2006-12-14 Hopboard, Llc Hopboard roof platform
CN100365828C (zh) * 2005-06-09 2008-01-30 西安交通大学 聚合物太阳能电池的深亚微米三维异质结界面及制备方法
JP2007003661A (ja) * 2005-06-22 2007-01-11 Fujifilm Holdings Corp パターン形成方法
US7256131B2 (en) * 2005-07-19 2007-08-14 Molecular Imprints, Inc. Method of controlling the critical dimension of structures formed on a substrate
US7759407B2 (en) 2005-07-22 2010-07-20 Molecular Imprints, Inc. Composition for adhering materials together
US8557351B2 (en) 2005-07-22 2013-10-15 Molecular Imprints, Inc. Method for adhering materials together
US8808808B2 (en) 2005-07-22 2014-08-19 Molecular Imprints, Inc. Method for imprint lithography utilizing an adhesion primer layer
US7259102B2 (en) * 2005-09-30 2007-08-21 Molecular Imprints, Inc. Etching technique to planarize a multi-layer structure
US8142703B2 (en) 2005-10-05 2012-03-27 Molecular Imprints, Inc. Imprint lithography method
JP2009516388A (ja) * 2005-11-18 2009-04-16 レプリソールス テクノロジーズ アーベー 多層構造の形成方法
US7803308B2 (en) * 2005-12-01 2010-09-28 Molecular Imprints, Inc. Technique for separating a mold from solidified imprinting material
US7906058B2 (en) * 2005-12-01 2011-03-15 Molecular Imprints, Inc. Bifurcated contact printing technique
EP1972998A4 (en) * 2005-12-06 2009-12-30 Nissan Chemical Ind Ltd SILICON-CONTAINING SUB-JACENT LAYER FILM FORMATION COMPOSITION FOR FORMATION OF PHOTO-RETICULATION COAT-RESISTANT UNDERLYING LAYER FILM
US7670530B2 (en) * 2006-01-20 2010-03-02 Molecular Imprints, Inc. Patterning substrates employing multiple chucks
WO2007067488A2 (en) * 2005-12-08 2007-06-14 Molecular Imprints, Inc. Method and system for double-sided patterning of substrates
US20070138699A1 (en) * 2005-12-21 2007-06-21 Asml Netherlands B.V. Imprint lithography
GB2436163A (en) 2006-03-10 2007-09-19 Seiko Epson Corp Device fabrication by ink-jet printing materials into bank structures, and embossing tool
US7802978B2 (en) 2006-04-03 2010-09-28 Molecular Imprints, Inc. Imprinting of partial fields at the edge of the wafer
US8142850B2 (en) * 2006-04-03 2012-03-27 Molecular Imprints, Inc. Patterning a plurality of fields on a substrate to compensate for differing evaporation times
KR20090003153A (ko) 2006-04-03 2009-01-09 몰레큘러 임프린츠 인코퍼레이티드 다수의 필드와 정렬 마크를 갖는 기판을 동시에 패턴화하는방법
JP5112733B2 (ja) * 2006-04-11 2013-01-09 ローム・アンド・ハース・エレクトロニック・マテリアルズ,エル.エル.シー. フォトリソグラフィ用コーティング組成物
US8012395B2 (en) * 2006-04-18 2011-09-06 Molecular Imprints, Inc. Template having alignment marks formed of contrast material
US7547398B2 (en) * 2006-04-18 2009-06-16 Molecular Imprints, Inc. Self-aligned process for fabricating imprint templates containing variously etched features
US8215946B2 (en) 2006-05-18 2012-07-10 Molecular Imprints, Inc. Imprint lithography system and method
CN100544053C (zh) * 2006-05-31 2009-09-23 中国科学院微电子研究所 一种结合压印技术制备各向异性有机场效应管的方法
KR100857521B1 (ko) * 2006-06-13 2008-09-08 엘지디스플레이 주식회사 박막트랜지스터 제조용 몰드의 제조방법 및 그 제조장비
DE102006030265B4 (de) * 2006-06-30 2014-01-30 Globalfoundries Inc. Verfahren zum Verbessern der Planarität einer Oberflächentopographie in einer Mikrostruktur
DE102006030267B4 (de) * 2006-06-30 2009-04-16 Advanced Micro Devices, Inc., Sunnyvale Nano-Einprägetechnik mit erhöhter Flexibilität in Bezug auf die Justierung und die Formung von Strukturelementen
US7775785B2 (en) * 2006-12-20 2010-08-17 Brewer Science Inc. Contact planarization apparatus
JP4950771B2 (ja) * 2007-01-19 2012-06-13 東京エレクトロン株式会社 塗布処理方法、プログラム及びコンピュータ記憶媒体
US7709178B2 (en) * 2007-04-17 2010-05-04 Brewer Science Inc. Alkaline-resistant negative photoresist for silicon wet-etch without silicon nitride
FR2915832B1 (fr) * 2007-05-04 2009-07-03 Commissariat Energie Atomique Procede de fabrication de motifs au sein d'une couche de polymere
US20100264560A1 (en) * 2007-12-19 2010-10-21 Zhuqing Zhang Imprint lithography apparatus and method
WO2009120394A2 (en) * 2008-01-04 2009-10-01 Massachusetts Institute Of Technology Method and apparatus for forming structures of polymer nanobeads
JP2009283557A (ja) * 2008-05-20 2009-12-03 Sumitomo Electric Ind Ltd 半導体光デバイスの製造方法
CN102089708A (zh) * 2008-06-09 2011-06-08 得克萨斯州大学系统董事会 适应性纳米形貌雕刻
US8232136B2 (en) 2008-08-07 2012-07-31 Massachusetts Institute Of Technology Method and apparatus for simultaneous lateral and vertical patterning of molecular organic films
WO2010028390A2 (en) 2008-09-08 2010-03-11 Massachusetts Institute Of Technology Method and apparatus for super radiant laser action in half wavelength thick organic semiconductor microcavities
US20100109195A1 (en) 2008-11-05 2010-05-06 Molecular Imprints, Inc. Release agent partition control in imprint lithography
US8739390B2 (en) 2008-12-16 2014-06-03 Massachusetts Institute Of Technology Method for microcontact printing of MEMS
US8963262B2 (en) 2009-08-07 2015-02-24 Massachusettes Institute Of Technology Method and apparatus for forming MEMS device
US8084185B2 (en) * 2009-01-08 2011-12-27 International Business Machines Corporation Substrate planarization with imprint materials and processes
KR101708256B1 (ko) 2009-07-29 2017-02-20 닛산 가가쿠 고교 가부시키 가이샤 나노 임프린트용 레지스트 하층막 형성 조성물
US8293451B2 (en) * 2009-08-18 2012-10-23 International Business Machines Corporation Near-infrared absorbing film compositions
US20120064720A1 (en) * 2010-09-10 2012-03-15 Taiwan Semiconductor Manufacturing Company, Ltd. Planarization control for semiconductor devices
TWI414647B (zh) * 2010-09-27 2013-11-11 私立中原大學 製作次微米圖樣化藍寶石基板之方法
GB2485337A (en) * 2010-11-01 2012-05-16 Plastic Logic Ltd Method for providing device-specific markings on devices
JP2013003167A (ja) * 2011-06-10 2013-01-07 Shin Etsu Chem Co Ltd パターン形成方法
JP5889568B2 (ja) 2011-08-11 2016-03-22 メルク、パテント、ゲゼルシャフト、ミット、ベシュレンクテル、ハフツングMerck Patent GmbH 酸化タングステン膜形成用組成物およびそれを用いた酸化タングステン膜の製造法
NL2009487A (en) 2011-10-14 2013-04-16 Asml Netherlands Bv Substrate holder, lithographic apparatus, device manufacturing method, and method of manufacturing a substrate holder.
US8865599B2 (en) * 2011-11-08 2014-10-21 Brewer Science Inc. Self-leveling planarization materials for microelectronic topography
US8772157B2 (en) * 2012-11-02 2014-07-08 Shanghai Huali Microelectronics Corporation Method of forming Cu interconnects
US9315636B2 (en) 2012-12-07 2016-04-19 Az Electronic Materials (Luxembourg) S.A.R.L. Stable metal compounds, their compositions and methods
US9417515B2 (en) 2013-03-14 2016-08-16 Applied Materials, Inc. Ultra-smooth layer ultraviolet lithography mirrors and blanks, and manufacturing and lithography systems therefor
US9612521B2 (en) 2013-03-12 2017-04-04 Applied Materials, Inc. Amorphous layer extreme ultraviolet lithography blank, and manufacturing and lithography systems therefor
US9354508B2 (en) 2013-03-12 2016-05-31 Applied Materials, Inc. Planarized extreme ultraviolet lithography blank, and manufacturing and lithography systems therefor
US9632411B2 (en) 2013-03-14 2017-04-25 Applied Materials, Inc. Vapor deposition deposited photoresist, and manufacturing and lithography systems therefor
US20140272684A1 (en) 2013-03-12 2014-09-18 Applied Materials, Inc. Extreme ultraviolet lithography mask blank manufacturing system and method of operation therefor
US9201305B2 (en) 2013-06-28 2015-12-01 Az Electronic Materials (Luxembourg) S.A.R.L. Spin-on compositions of soluble metal oxide carboxylates and methods of their use
US9296922B2 (en) 2013-08-30 2016-03-29 Az Electronic Materials (Luxembourg) S.A.R.L. Stable metal compounds as hardmasks and filling materials, their compositions and methods of use
JP6357749B2 (ja) * 2013-09-27 2018-07-18 大日本印刷株式会社 基板再生方法及びインプリントモールドの製造方法
US9409793B2 (en) 2014-01-14 2016-08-09 Az Electronic Materials (Luxembourg) S.A.R.L. Spin coatable metallic hard mask compositions and processes thereof
US9418836B2 (en) * 2014-01-14 2016-08-16 Az Electronic Materials (Luxembourg) S.A.R.L. Polyoxometalate and heteropolyoxometalate compositions and methods for their use
KR102021484B1 (ko) * 2014-10-31 2019-09-16 삼성에스디아이 주식회사 막 구조물 제조 방법, 막 구조물, 및 패턴형성방법
KR102310120B1 (ko) 2015-01-30 2021-10-08 삼성전자주식회사 하드마스크 물질막의 형성 방법
JP6437387B2 (ja) * 2015-05-25 2018-12-12 東芝メモリ株式会社 基板平坦化方法
JP6542141B2 (ja) * 2016-03-08 2019-07-10 東芝メモリ株式会社 パターン形成方法
JP6538592B2 (ja) * 2016-03-08 2019-07-03 東芝メモリ株式会社 パターン形成方法
WO2018170474A1 (en) * 2017-03-17 2018-09-20 University Of Massachusetts Direct printing of 3-d microbatteries and electrodes
US11190868B2 (en) 2017-04-18 2021-11-30 Massachusetts Institute Of Technology Electrostatic acoustic transducer utilized in a headphone device or an earbud
KR102110991B1 (ko) * 2017-08-09 2020-05-14 삼성에스디아이 주식회사 막 구조물 제조 방법, 막 구조물, 및 패턴형성방법
WO2019048393A1 (en) 2017-09-06 2019-03-14 AZ Electronic Materials (Luxembourg) S.à.r.l. AN INORGANIC OXIDE-CONTAINING VINYL DEPOSITION COMPOSITION USEFUL AS HARD MASKS AND FILLING MATERIALS HAVING ENHANCED THERMAL STABILITY
CN112219164A (zh) * 2018-03-26 2021-01-12 应用材料公司 用于生产多层压印母版的方法、多层压印母版及多层压印母版的用途
JP7175620B2 (ja) * 2018-03-30 2022-11-21 キヤノン株式会社 型を用いて基板上の組成物を成形する成形装置、成形方法、および物品の製造方法
JP7071231B2 (ja) * 2018-06-28 2022-05-18 キヤノン株式会社 平坦化装置、平坦化方法、物品製造方法及び液滴配置パターンデータの作成方法
JP7299685B2 (ja) * 2018-10-11 2023-06-28 キヤノン株式会社 膜形成装置、膜形成方法および物品製造方法
US11201051B2 (en) * 2018-11-13 2021-12-14 Tokyo Electron Limited Method for layer by layer growth of conformal films
CN109445247B (zh) * 2018-11-16 2020-06-19 京东方科技集团股份有限公司 压印模板及其制备方法和压印方法
US10777420B1 (en) 2019-02-26 2020-09-15 United Microelectronics Corp. Etching back method
JP7286400B2 (ja) 2019-04-24 2023-06-05 キヤノン株式会社 成形装置、決定方法、および物品製造方法
JP2022057711A (ja) 2020-09-30 2022-04-11 キヤノン株式会社 膜形成方法、物品の製造方法、供給装置、膜形成装置、および基板
JP2023008475A (ja) * 2021-07-06 2023-01-19 信越化学工業株式会社 インプリントモールドおよびその製造方法ならびに再生インプリントモールドの製造方法

Family Cites Families (36)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4515828A (en) 1981-01-02 1985-05-07 International Business Machines Corporation Planarization method
JPH0642516B2 (ja) * 1985-12-28 1994-06-01 日本電気株式会社 半導体装置の製造方法
US6048799A (en) 1987-02-27 2000-04-11 Lucent Technologies Inc. Device fabrication involving surface planarization
US5736424A (en) 1987-02-27 1998-04-07 Lucent Technologies Inc. Device fabrication involving planarization
US6391798B1 (en) 1987-02-27 2002-05-21 Agere Systems Guardian Corp. Process for planarization a semiconductor substrate
JPS63253630A (ja) * 1987-04-10 1988-10-20 Nippon Denso Co Ltd 半導体装置の製造方法
US5068711A (en) 1989-03-20 1991-11-26 Fujitsu Limited Semiconductor device having a planarized surface
US5650261A (en) 1989-10-27 1997-07-22 Rohm And Haas Company Positive acting photoresist comprising a photoacid, a photobase and a film forming acid-hardening resin system
US5320934A (en) * 1991-06-28 1994-06-14 Misium George R Bilayer photolithographic process
EP0560617A3 (en) 1992-03-13 1993-11-24 Kawasaki Steel Co Method of manufacturing insulating film on semiconductor device and apparatus for carrying out the same
US5756256A (en) 1992-06-05 1998-05-26 Sharp Microelectronics Technology, Inc. Silylated photo-resist layer and planarizing method
JPH06291199A (ja) * 1993-04-06 1994-10-18 Sony Corp 層間絶縁膜の平坦化方法
US5434107A (en) * 1994-01-28 1995-07-18 Texas Instruments Incorporated Method for planarization
JPH07221006A (ja) * 1994-01-28 1995-08-18 Sony Corp 平坦化膜の形成方法およびその形成装置
EP0683511B1 (en) * 1994-05-18 2000-02-23 AT&T Corp. Device fabrication involving planarization
US5679610A (en) * 1994-12-15 1997-10-21 Kabushiki Kaisha Toshiba Method of planarizing a semiconductor workpiece surface
US5967030A (en) 1995-11-17 1999-10-19 Micron Technology, Inc. Global planarization method and apparatus
US5855811A (en) 1996-10-03 1999-01-05 Micron Technology, Inc. Cleaning composition containing tetraalkylammonium salt and use thereof in semiconductor fabrication
JPH10135198A (ja) * 1996-10-30 1998-05-22 Nippon Telegr & Teleph Corp <Ntt> 薄膜形成方法
US5985524A (en) 1997-03-28 1999-11-16 International Business Machines Incorporated Process for using bilayer photoresist
US5886391A (en) * 1997-04-18 1999-03-23 Micron Technology, Inc. Antireflective structure
US6331488B1 (en) 1997-05-23 2001-12-18 Micron Technology, Inc. Planarization process for semiconductor substrates
US6468718B1 (en) * 1999-02-04 2002-10-22 Clariant Finance (Bvi) Limited Radiation absorbing polymer, composition for radiation absorbing coating, radiation absorbing coating and application thereof as anti-reflective coating
US5935762A (en) 1997-10-14 1999-08-10 Industrial Technology Research Institute Two-layered TSI process for dual damascene patterning
US6523803B1 (en) * 1998-09-03 2003-02-25 Micron Technology, Inc. Mold apparatus used during semiconductor device fabrication
US6589889B2 (en) 1999-09-09 2003-07-08 Alliedsignal Inc. Contact planarization using nanoporous silica materials
US6399512B1 (en) * 2000-06-15 2002-06-04 Cypress Semiconductor Corporation Method of making metallization and contact structures in an integrated circuit comprising an etch stop layer
AU2001280980A1 (en) 2000-08-01 2002-02-13 Board Of Regents, The University Of Texas System Methods for high-precision gap and orientation sensing between a transparent template and substrate for imprint lithography
TWI226103B (en) 2000-08-31 2005-01-01 Georgia Tech Res Inst Fabrication of semiconductor devices with air gaps for ultra low capacitance interconnections and methods of making same
EP1199561A1 (en) 2000-10-16 2002-04-24 Matsushita Electric Industrial Co., Ltd. Hydrocarbon sensor and method for producing the same
TW451327B (en) * 2000-11-06 2001-08-21 United Microelectronics Corp Dual damascene process
US6387787B1 (en) * 2001-03-02 2002-05-14 Motorola, Inc. Lithographic template and method of formation and use
US6716767B2 (en) 2001-10-31 2004-04-06 Brewer Science, Inc. Contact planarization materials that generate no volatile byproducts or residue during curing
US7455955B2 (en) 2002-02-27 2008-11-25 Brewer Science Inc. Planarization method for multi-layer lithography processing
EP1512049A1 (en) 2002-06-07 2005-03-09 Obducat AB Method for transferring a pattern
US20040040644A1 (en) * 2002-08-30 2004-03-04 Jer-Haur Chang Micro hot embossing method for quick heating and cooling, and uniformly pressing

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10663863B2 (en) 2015-10-23 2020-05-26 Samsung Sdi Co., Ltd. Method of producing layer structure, and method of forming patterns

Also Published As

Publication number Publication date
WO2003073164A2 (en) 2003-09-04
US20040029041A1 (en) 2004-02-12
AU2003217804A1 (en) 2003-09-09
US7455955B2 (en) 2008-11-25
JP4990479B2 (ja) 2012-08-01
JP2005532576A (ja) 2005-10-27
EP1485949A4 (en) 2007-04-25
EP1485949A2 (en) 2004-12-15
AU2003217804A8 (en) 2003-09-09
WO2003073164A3 (en) 2003-12-18
TW200405122A (en) 2004-04-01

Similar Documents

Publication Publication Date Title
TWI320874B (en) Novel planarization method for multi-layer lithography processing
JP6284925B2 (ja) 誘導自己組織化用のケイ素系ハードマスク層
JP6814151B2 (ja) ブロック・コポリマの誘導自己組織化のためのハイブリッド形態学的化学的プレパターン
KR100905134B1 (ko) 경화동안 휘발성 부산물 또는 잔류물을 발생하지 않는접촉 평탄화 재료
US7837459B2 (en) Method for fabricating dual damascene structures using photo-imprint lithography, methods for fabricating imprint lithography molds for dual damascene structures, materials for imprintable dielectrics and equipment for photo-imprint lithography used in dual damascene patterning
TWI290265B (en) Lithographic antireflective hardmask compositions and uses thereof
TWI579894B (zh) 以使用可溶於顯影劑之層的多層技術產生結構的方法
JP5290204B2 (ja) 微細パターンマスクおよびその製造方法、ならびにそれを用いた微細パターンの形成方法
TWI335490B (en) Nano-imprinting process
JP4852360B2 (ja) 多層リソグラフィプロセスにおいて用いられる複素環芳香族構造物を含む基層組成物、リソグラフィ構造物、材料層または材料要素を基板上に形成させる方法
US20050230882A1 (en) Method of forming a deep-featured template employed in imprint lithography
TW201005036A (en) Aqueous curable imprintable medium and patterned layer forming method
JP2005532576A5 (zh)
JP2005523474A (ja) ハードマスク層用の反射防止SiO含有組成物
TWI579918B (zh) 開放式特徵部中用以建立介電隔離結構之消去法
US6841339B2 (en) Silicon micro-mold and method for fabrication
TW202118800A (zh) 在經pag固定化表面上由下而上的保形塗層和光圖案化
US11415881B2 (en) Method for functionalising a substrate
TW202346390A (zh) 奈米壓印用阻劑下層膜形成組成物
Chen et al. Nanoimprint and soft lithography for planar photonic meta-materials
TW201200342A (en) Nano-imprint method
TW201100456A (en) Nano-imprint resist and nanoimprinting lithography method using the same

Legal Events

Date Code Title Description
MM4A Annulment or lapse of patent due to non-payment of fees