JP4990479B2 - 多層リソグラフィープロセスに関する新規な平坦化方法 - Google Patents

多層リソグラフィープロセスに関する新規な平坦化方法 Download PDF

Info

Publication number
JP4990479B2
JP4990479B2 JP2003571794A JP2003571794A JP4990479B2 JP 4990479 B2 JP4990479 B2 JP 4990479B2 JP 2003571794 A JP2003571794 A JP 2003571794A JP 2003571794 A JP2003571794 A JP 2003571794A JP 4990479 B2 JP4990479 B2 JP 4990479B2
Authority
JP
Japan
Prior art keywords
layer
substrate
planarization
planarization layer
pattern
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
JP2003571794A
Other languages
English (en)
Other versions
JP2005532576A5 (ja
JP2005532576A (ja
Inventor
ウー−シェン シー
ジェームズ イー. ラム
マーク ジー. ダフロン
ジュリエット アン ミンジー スヌーク
Original Assignee
ブルーワー サイエンス アイ エヌ シー.
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by ブルーワー サイエンス アイ エヌ シー. filed Critical ブルーワー サイエンス アイ エヌ シー.
Publication of JP2005532576A publication Critical patent/JP2005532576A/ja
Publication of JP2005532576A5 publication Critical patent/JP2005532576A5/ja
Application granted granted Critical
Publication of JP4990479B2 publication Critical patent/JP4990479B2/ja
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Images

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/0002Lithographic processes using patterning methods other than those involving the exposure to radiation, e.g. by stamping
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B82NANOTECHNOLOGY
    • B82YSPECIFIC USES OR APPLICATIONS OF NANOSTRUCTURES; MEASUREMENT OR ANALYSIS OF NANOSTRUCTURES; MANUFACTURE OR TREATMENT OF NANOSTRUCTURES
    • B82Y10/00Nanotechnology for information processing, storage or transmission, e.g. quantum computing or single electron logic
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B82NANOTECHNOLOGY
    • B82YSPECIFIC USES OR APPLICATIONS OF NANOSTRUCTURES; MEASUREMENT OR ANALYSIS OF NANOSTRUCTURES; MANUFACTURE OR TREATMENT OF NANOSTRUCTURES
    • B82Y40/00Manufacture or treatment of nanostructures
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/09Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers
    • G03F7/094Multilayer resist systems, e.g. planarising layers
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/09Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers
    • G03F7/095Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers having more than one photosensitive layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/308Chemical or electrical treatment, e.g. electrolytic etching using masks
    • H01L21/3081Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their composition, e.g. multilayer masks, materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/308Chemical or electrical treatment, e.g. electrolytic etching using masks
    • H01L21/3083Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/3086Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/31051Planarisation of the insulating layers
    • H01L21/31053Planarisation of the insulating layers involving a dielectric removal step
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T428/00Stock material or miscellaneous articles
    • Y10T428/24Structurally defined web or sheet [e.g., overall dimension, etc.]
    • Y10T428/24802Discontinuous or differential coating, impregnation or bond [e.g., artwork, printing, retouched photograph, etc.]
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T428/00Stock material or miscellaneous articles
    • Y10T428/24Structurally defined web or sheet [e.g., overall dimension, etc.]
    • Y10T428/24802Discontinuous or differential coating, impregnation or bond [e.g., artwork, printing, retouched photograph, etc.]
    • Y10T428/24851Intermediate layer is discontinuous or differential

Description

発明の背景
連邦政府の委託研究/開発プログラム
本発明は、米国標準技術局(NIST)によって認定された先進技術プログラム♯70NANB1H3019の下で米国政府の援助を受けてなされたものである。本発明に関して、米国政府は一定の権利を有する。
関連した出願
本願は、2002年2月27日付で出願され、この参照によって本開示に含まれる、第60/360,374号の多層リソグラフィープロセスに関する新規な平坦化方法と題された先の出願の優先権の利益を主張する。
発明の分野
本発明は、概して、マイクロエレクトロニック、フォトニクス、オプトエレクトロニック、オプティカル、マイクロエレクトロメカニカルシステム(MEMS)、バイオチップ、およびセンサーデバイスの製造、ならびにリソグラフィープロセスを必要とする他のプロセスのための、熱可塑性、熱硬化性、および、光硬化性の平坦化材料を利用した、新規な接触平坦化方法に関する。
従来技術の説明
市場は、マイクロエレクトロニックデバイスに対して、デバイス内により小さな微細構造を構築するための必要性を推進する、小さな物理的特性をもつことを要求する。さらに、そのようなデバイスは、さらなるエネルギー効率の良さや非常にすぐれた機能性を期待される、一方で、生産効率の向上も期待される。上記の目的を達成するために、集積回路(IC)上にあるデバイスの加工寸法をますます小さくする必要がある。従って、ライン、トレンチ、ビア、およびホール等の、より小さな微細構造を有し、相互に接続された多くの層が、デバイス基板上で、パターン形成されるべきである。現在、フォトリソグラフィーが、これらの微細構造をデバイス基板上に構築するために使用されている。このプロセスは、一般的に、単層のフォトレジスト層を用いて達成される。新興のリソグラフィー技術、例えば、インプリントリソグラフィー、ナノインプリントリソグラフィー、ホットエンボスリソグラフィー、および、スタンピングパターントランスファー等が提案されている。これらの技術は、基板表面にパターンを転写するために、フォトリソグラフィックパターンニングの代わりに、パターン形成されたモールド(型)を使う。
フォトリソグラフィープロセスでは、より小さい微細構造を構築するために、より短い波長の露光光(例えば、248nm〜193nm〜157nm〜超紫外線(EUV)、およびそれ以下)が使用されてきた。その結果、焦点深度(DOF)がより狭くなり、それによってフォトリソグラフィックプロセスの露光範囲がより小さくなる。従って、基板表面の凹凸(トポグラフィー)と、基板表面に施され、パターン形成される被覆膜の膜厚および膜厚均一性とは、所望の加工寸法を有した微細構造の製造において重要な要因になる。露光光の波長が短くなるにつれて、基板表面の凹凸の許容範囲も狭くなる。さらに、基板表面が完全に平坦であると仮定すると、パターン形成される膜を十分に薄くして、フォトリソグラフィープロセスのDOFの範囲内とする必要がある。相互に接続される層が、より多く構築されるにしたがい、基板表面の凹凸が非常に険しくなるので、リソグラフィープロセスのDOFが許容する制限を超える。従って、微少な加工寸法を、基板表面上にパターン形成することができない。
表面の凹凸に起因するもう一つの課題は、表面を覆うように被覆された膜が、下層にある厚さが均一でない凹凸にあわせて起伏をなす傾向があることである。つまり、下層の表面の凹凸が、わずかに険しさを欠いた状態で、ある程度再現される。凹領域に被覆された膜は、他の領域よりも厚くなる傾向がある。表面の凹凸に沿った膜厚の不均一性は、フォトグラフィックプロセスにおいて、露光不足および過多の領域、および、焦点があっていない(つまり、DOF範囲の範囲外の)領域を引き起こす問題を生じる。その結果、フォトリソグラフィックプロセスにおいて、必要な微細構造のクリティカルディメンション(CD)の制御を達成できない。これらの要因は、微細構造が所望の加工寸法を達成することを妨げる。
DOFが狭くなるにしたがい、単層フォトレジストによるフォトリソグラフィープロセスは、基板表面の凹凸、ならびに、フォトレジストの膜厚および膜厚均一性のために、パターンニングの要求を満たす可能性を非常に制限してきた。従って、多層コーティングプロセスが、提案され、研究されてきた。平坦化材料からなる厚い層が、最初に基板表面に被覆され、その上にさらに被覆層を施すことができる、より平坦な表面を提供する。最上層は、フォトリソグラフィーによりパターン形成される。そして、最上層のパターン形成された構造は、例えばアンアイソトロピックプラズマエッチング等の適切なプロセスによって、基板上に施されている全ての層を通り、基板へ向かって下層へ転写される。上記の技術は、トップサーフェスイメージングプロセスと呼ばれている。このトップサーフェスイメージングプロセスは、感光性のある最上層が施されて、パターン形成される前に、基板上に二層、三層、または、さらにそれ以上の被覆膜の層を施すことを含むことができる。
図1(a)〜(c)は、従来技術によるプロセスを示す。図1(a)に示すように、相互に連絡された、より多くの層がデバイス基板10の表面上に構成されるに従って、それらの層から生み出される凹凸12は、許容できなくなる。このような状況下において、上記のような凹凸のある表面の上に所望の構造をパターン形成するために、単層フォトレジストプロセスはもはや適してない。従って、二層プロセスが、リソグラフィープロセスウィンドウを改善し続けてきた。この二層プロセスは、表面に平坦化材料14のスピンコーティングを行って、例えばビアやトレンチ16のような凹領域を埋めることを含み、その後にサーマルリフロープロセスが続く。この平坦化材料は、反射防止膜、フォトレジスト、または、類似の材料であればよい。しかしながら、スピンコーティングされた層は、下層の表面凹凸(図1(b)参照)に沿って起伏をなす傾向がある。図1(b)に示すように、サーマルグラビティーリフロープロセスは、被覆される材料を凹領域(ビアやトレンチ16)へ流入させて、表面の凹凸をある程度低減させる。もし必要であれば、リフロープロセスの間またはその後で、この被覆される材料を熱的に結合することができる。これにより、その上に更なる層が施される、より平坦な面が提供される。図1(c)に示すように、最上層18、典型的にはフォトレジスト、が平坦化層の最上部に施される。このフォトレジスト層は、フォトリソグラフィープロセスにおいてパターン形成される。従って、膜厚、膜厚均一性、および、表面の凹凸は、この最上部のイメージング層の性能に影響を及ぼす重要な要因である。イメージが最上層18にパターン形成されると、基板表面はプラズマエッチプロセスが施される。イメージは、フォトレジスト層の、保護されていない、むき出しの領域をエッチすることによって、最下層まで、そして必要であれば基板まで転写される。最下層の平坦化材料14の厚みは、それが凹凸状の基板表面を覆っているので不均一であり、しかも、最上層18の膜厚よりも厚いであろうから、各層におけるプラズマエッチレートは重要である。好ましくは、最上層18は、最下層よりも十分に低いプラズマエッチレートを有する。その時、最上層18は、パターンを転写するプロセス、つまり、プラズマエッチプロセスにおいて、エッチマスクとして機能することができる。従って、最下層および最上層のプラズマエッチの選択性は、最上層から最下層へ、そしてその下の基板へと、パターン形成されたイメージを転写するために重要である。
エッチ選択性の要求を緩和し、最下層とフォトレジスト層との間の相互作用を防ぐために、最下層とフォトレジスト層との間に非常に薄いハードマスク層を施すことが提案されてきた。このハードマスク層は、必要とするプラズマエッチ特性を有し、最下層とフォトレジスト層とを分離するのに役立つだろう。この新しいプロセスは、三層プロセスと呼ばれてきた。ハードマスク層は、平坦化層の表面の平坦性を向上しない。なぜなら、ハードマスクは非常に薄く、平坦化表面に一致するからである。従って、フォトリソグラフィープロセスの露光範囲は改善されないので、平坦化された最下層は、依然として、三層プロセスにおいて必要とされる。ハードマスク層にパターンを転写するには、特殊なプラズマエッチプロセスが必要であり、さらに、最下部にある平坦化層にパターンを転写するために、さらなるプラズマエッチプロセスが用いられる。二層および三層プロセスの両方に関して、さらなる被覆層が施される平坦な表面を提供するために、平坦化された最下層が必要とされる。
デュアルダマシン(DD)プロセスは、先進的なIC製造プロセスで幅広く使用される技術であり、一つの金属積層工程で二つの金属層の積層を可能にする。誘電体層は一回、または、二回(または、それ以上の回数、DDプロセスの手法に依存する)エッチされ、誘電体層にあるパターンの二つの層が構成される。DDプロセスの適用にあたって、もし、二層以上の誘電体層が含まれるならば、誘電体層は、エッチングストップバリア層として機能する薄いハードマスク層によって分離されてもよい。DDパターンが形成されると、その後、金属配線材料がそのパターンの内部に堆積される。あるDDプロセスの手法では、誘電体材料(またはフォトレジスト)の層が基板20の表面上にスピンコートされる(図2(a)〜(c))。フォトリソグラフィーは、図2(a)に示すように、層内に異なる形状密度の領域をもつ所望のアスペクト比を有する微細構造(ビア/トレンチ22)を作るために用いられる。基板20は、分離された構造を有する領域24、ならびに、密集して配置された形状を有する領域26を有している。従って、形状密度は、ダイの中や、基板表面にわたって変化する。図2(b)に示すように、形状密度が最終的な膜厚の決定に関して重要な役割を果たすので、最初の平坦化層28がこのパターン形成された表面に被覆されるとき、凹凸形状が可能な限り深くなる。最上部の構造の膜厚は、分離された構造を含む領域24の上方の膜厚よりも、密集して配置された形状の上方の領域26での方が非常に薄い。その結果、局所的な平坦化は、同じ形状密度を持つ領域内では達成されている。しかしながら、形状密度が大きい領域の上方の膜厚の薄さから、凹領域が生じる。最悪の場合、膜が十分に厚く塗られていないと、密集していない形状密度の領域が、第一平坦化材料層28で完全に満たされるのに対して、密集した形状密度の領域における高いアスペクト比を有する構造(例えば、ビアやトレンチ)は、一部が満たされるだけであろう。従って、ダイの内部や基板の表面にわたる、全体的な平坦性はない。
その後、第二の層30が、全体的な平坦性を欠いた平坦化層28の上に被覆される。この第二の層は、感光性のあるフォトレジスト層(二層プロセス用)、または、薄いハードマスク材料(三層プロセス用)にすることができる。図2(c)に示すように、領域26の上方により厚い膜層を持つ第二の層30は、下層28の凹凸に沿って起伏をなす傾向がある。最上層の膜厚の不均一性を最小化し、全体的な平坦性を向上させる一つの手法は、よりよい局所的かつ全体的な表面平坦性を供給し、その上にさらなる層を施すことができる下側の平坦化層に、適正な厚み(数ミクロン以上)を提供することである。厚い平坦化層により、プラズマエッチ時間が延び、高いプラズマエッチの選択性が要求される。平坦化層の、プラズマエッチレートは、最上部のイメージング層のレートよりも非常に高い必要がある。これらの特性が、プロセスに関する処理能力および材料適合性の懸念を生じさせる。もう一つの手法は、比較的低い形状密度を有する領域内にダミー構造を作って、ダイの内部や基板表面にわたって変動が少ない形状密度を提供し、形状密度の影響を緩和することである。その結果、平坦化層表面上に、よりよい全体的な平坦性が達成される。しかし、ダミー構造を使用するので、設計および回路の配置がより複雑になる。また、この手法は、ダイサイズの増加が必要となることもあるため、好ましくない。
フォトリソグラフィープロセスの代替として、いくつかの新規なリソグラフィー技術、例えばインプリントリソグラフィー、ナノインプリントリソグラフィー、ホットエンボスリソグラフィー、スタンピングパターントランスファー等が、微細構造の製造に関して提案され、研究されてきた。インプリントリソグラフィー、ナノインプリントリソグラフィー、および、ホットエンボスリソグラフィーは、鋳型を利用して、その上に、薄く、流動性がある鋳型材料がコーティングされる基板表面上にパターンを印刷する。これらのプロセスは、室温以上で実行できる。鋳型の表面が鋳型材料に触れると、鋳型材料は、インプリントまたはエンボスプロセスの条件下で強制的に溶融され、パター形成された鋳型の表面に一致する。成型された材料は、その後、光を用いた手段、または、熱を用いた手段のどちらか一方によって固化される。上記の鋳型は、成型され固化された材料から分離される。鋳型のパターンの、反転パターンが、成型された材料に転写される。もし必要があれば、そのパターン形成された表面が、適切なパラメータおよびシーケンスで、プラズマエッチされ、下の層にパターンが転写される。これらのリソグラフィー技術は、パターンを担持するフォトマスク(または、レチクル)を通過し、フォトレジスト層にパターンを転写するための露光に依存しない。従って、DOFは問題にならない。しかし、被覆され、流動性を有する、鋳型材料は、基板表面にわたってほぼ完璧に全体的に平坦性のある、非常に均一な膜厚を有している必要がある。その理由は、鋳型が硬く、転写される構造が非常に小さいからである。鋳型の表面は、パターン形成される表面と完全に並行に保たれる必要がある。鋳型材料層における、あらゆる凹凸および膜厚不均一性は、基板表面に転写される最終のパターンに突発的な影響を与える可能性が高い。凹凸形状のある表面は不完全なパターンの転写の原因になる。鋳型材料の膜厚の不均一性はプラズマエッチの複雑さの原因になる。つまり、膜厚が厚い領域ではアンダーエッチされ、膜厚が薄い領域ではオーバーエッチされる。従って、パターンを転写するプロセスでは、その上に、流動性を有する鋳型材料の均一な膜厚および全体的に平坦な表面を得ることができる、全体的に平坦な基板表面が必要とされる。微細構造がデバイスの表面にスタンピング(刻印)されるときと同様に、全体的に平坦な表面がスタンピングプロセスでは必要とされる。
発明の概要
本発明は、概して、新規な接触平坦化方法、および、スタンピングパターンプロセスと同様にフォトリソグラフィー、インプリントリソグラフィー、ナノインプリントリソグラフィー、およびホットエンボスリソグラフィーのために、全体的に平坦な表面を提供する本方法によって形成される前駆体に関し、これにより従来技術の問題点を抑制または防止する。
詳しくは、前駆体は、その表面に凹凸を有する超小型電子基盤に、最初に平坦化膜を施す(例えば、スピンコーティング、スプレーコーティング、キャスティング、パドリング、ファウンテインコーティング(fountain coating)等によって)ことによって形成される。ほとんどのアプリケーションに対して、この層(後述するように、硬化および接触平坦化の後)は、典型的に、膜厚が約0.1〜10μmであり、好ましくは約0.1〜3μmで、より好ましくは約0.1〜1μmで、さらにより好ましくは約0.1〜0.5μmの範囲である。MEMSのアプリケーションに対しては,平坦化層の厚さは、典型的には、約1〜1000μmの範囲で、より好ましくは約1〜500μmの範囲である。
典型的な超小型電子基盤の例は、シリコンウェハ、化合物半導体ウェハ、シリコン・オン・インシュレイター(SOI)ウェハ、ガラス基板、石英基板、有機高分子基板、複合材料基板、誘電体基板、金属基板、合金基板、シリコンカーバイド基板、窒化シリコン基板、サファイア基板、セラミックス基板、および、耐火性の材料によって形成された基板を含む。
平坦化層は、反射防止材料またはフォトレジスト材料とすることができる。または、平坦化層は、光硬化性または熱硬化性を有する、ポリマー、モノマー、オリゴマー、およびそれらの混合物、さらに熱可塑性材料からなる群の中から選ばれた成分を含む材料から形成することができる。材料中のモノマー、ポリマー、および/またはオリゴマーの総重量は、平坦化材料の総重量が100重量%であることを基準として、少なくとも約1重量%で、好ましくは約5〜100重量%で、より好ましくは約10〜80重量%とするべきである。好適なモノマー、オリゴマー、およびポリマーの例は、以下のモノマー、オリゴマー、またはポリマーを含む:ノボラックエポキシのようなエポキシ、ノボラックエポキシアクリレートのようなアクリレート、ノボラックエポキシビニルエーテルのようなビニルエーテル、ポリエステル、ポリイミド、有機および無機モノマー/オリゴマー/ポリマー、およびビニル含有の有機および無機モノマー/オリゴマー/ポリマー、および前出したものの混合物。
さらに好ましい材料は、材料の総重量が100重量%であることを基準として、好ましくは約0〜99重量%、好ましくは約0〜95重量%、より好ましくは約5〜85重量%の量の溶媒をさらに含む。好適な溶媒は、プロピレングリコールメチルエーテル(PGME)、プロピレングリコールメチルエーテルアセテート(PGMEA)、エチルラクテート、および前出のものの混合物を含む。キュアリングプロセスまたはハードニングプロセスにおいて、上記のモノマー、オリゴマー、およびポリマーと反応する、反応性溶媒も使用できる。そのような溶媒の例は、グリシジルエーテル、ビニルエーテル、アリルエーテル、アクリレート、およびプロピレンカーボネート含む。
さらに、材料は、酸、酸発生剤(例えば、熱酸発生剤、光酸発生剤)、光開始剤、熱開始剤、および界面活性剤からなる群から選択される成分を含むのが好ましい。酸または酸発生剤が含まれるとき、材料の総重量が100重量%であることを基準として、含有量が約0.1〜10重量%であるのが好ましく、また、約0.5〜5重量%であるのが好ましい。好適な酸または酸発生剤の例は、トリアリルスルホニウム ヘキサフルオロホスフェート、トリアリルスルホニウム ヘキサフルオロアーセネート、ジアリルヨードニウム ヘキサフルオロホスフェート、ジアリルヨードニウム ヘキサフルオロアンチモネート、ジアリルヨードニウム ヘキサフルオロアーセネート、トリアリル スルホオキソニウム ヘキサフルオロホスフェート、アリルオキシ スルホオキソニウム ヘキサフルオロホスフェート、クォータナリー アンモニウム トリフラート、ポリメリック スルホニック アシッド エーテル、ジノニルナフタレン スルホネート エーテル、およびトルエンスルホニック アシッドからなる群から選ばれたものを含む。酸または酸発生剤は、塩基または塩基発生剤で代替することもできる。
開始剤または熱開始剤を含むとき、材料の総重量が100重量%であることを基準として、それらは別々に約0.1〜10重量%の量を含むのが好ましく、また、約0.5〜5重量%であるのが好ましい。好適な光開始剤または熱開始剤の例は、アリルケトン、ヒドロキシ ケトン、オーガニック パーオキシド、オーガニック ヒドロパーオキシド、アゾ化合物、トリフリック酸のアミン塩からなる群から選択されるものを含む。
平坦化材料に含まれる成分に関わらずに、押圧する間、平坦化材料が約10〜50,000cPの粘性を有するべきであり、約10〜5,000cPの粘性を有するのが好ましい。従って、平坦化材料は、大きさが約0.05μm以上、好ましくは約0.1〜50,000μmの範囲の隙間を埋めることができる。
平坦化材料を塗布した後、もし必要であれば、約50〜250℃で約5〜600秒の間加熱して残留溶媒を除去してもよい。もしくは、平坦化材料が被覆されたものを、約5〜600秒の間、真空にさらすことによって、残留溶媒を除去してもよい。その後、平坦化材料を、十分な時間および圧力をもって平坦部材に接触させることにより、部材の平坦な表面を平坦化層に転写し、被覆された材料を凹領域に流入させることができる。平坦部材は、石英、シリコン、ガラス、金属、合金、セラミックス、ポリマー等から形成できる。接触または押圧ステップは、普通は、約1〜1,000psi、好ましくは約5〜250psi、さらに、より好ましくは約10〜100psiの圧力を、約1秒から約120分間、好ましくは約3秒から約10分間、より好ましくは約10秒から5分間加えることを含む。
このプロセスは、大気圧前後よりも低く排気されたチャンバ内で行うことができるが、大気圧の条件、高圧力、および人工的な雰囲気は、同様に適する。オプティカルフラットまたは何らかの同等な手段を使用してこの圧力を与えることができ、そして、選択された加圧手段は、特定のプロセス(例えば、もしUV硬化プロセスを使用するならば、UV透過性オプティカルフラットが必要である。)に適合するように選択されなければならないことは理解されるであろう。押圧プロセスは大気雰囲気、不活性雰囲気(窒素、アルゴン等の気体を含む)、または特定の雰囲気(有機溶媒の蒸気、シリコン含有蒸気、水蒸気、酸素等の物質を含む)で行うことができる。
接触ステップは、通常、室温前後から約350℃の温度で実行される。光硬化性の平坦化材料に関しては、押圧時の温度を、室温前後から約250℃の範囲、好ましくは室温前後から約100℃の範囲、さらに好ましくは室温前後から約50℃の範囲に制御すべきである。熱硬化性の平坦化材料に関しては、押圧時の温度を、室温前後から約350℃までの範囲、好ましくは約50〜250℃、さらに好ましくは約50〜200℃に制御すべきである。
熱可塑性の平坦化材料に関しては、押圧時の温度が、室温前後から材料の融点より約50℃高い温度範囲、好ましくは材料のガラス転移温度(T)より約20℃低い温度から材料の融点より約20℃高い温度の範囲、さらに好ましくは、材料のT前後から材料の融点より約10℃高い温度の範囲であるべきである。接触ステップの後に、基板を、そのT前後よりも低く、好ましくはTより約20℃低く、または、約50℃より低く(低いほうのどちらか一方)冷却し、熱可塑性樹脂を硬化する。
オプティカルフラットと基板とが、接触状態ある間(および/または接触した後)、平坦化材料は通常の手段でハードニングまたはキュアリングされる。例えば、その構成物が光硬化性であれば、UV光(特定の構成物に関して適切な波長で)に当てられ層が硬化される。同様に、構成物が熱硬化性であれば、加熱(例えば、ホットプレートを介して、オーブンを介して、赤外線加熱を介して、放射熱等)し、続いて、Tよりも低く、好ましくは約50℃以下または少なくともそのTよりも約20℃低く(二つのうち温度が低い方)冷却することとによって、構成物を硬化させることができる。構成物が熱可塑性であれば、上述するように冷却することで硬化または凝固する。
使用される材料に関わらず、平坦化材料が硬化すると基板は平坦部材から分離される。このようにして、平坦部材の表面の平面性が基板表面に転写され、その後全体的な平坦性が提供される。その後、均一な膜厚および全体的な平坦性を有する第二の被覆層(イメージング層等)が、平坦化された表面の上に被覆される。好適なイメージング層は、フォトレジスト層、インプリント層、スタンプされたパターンを含む。さらに、イメージング層を被覆する前に、一つ以上の任意の中間層(例えば、マスク層、バリア層、反射防止層)を被覆することができる。一つの実施形態において、中間層は実質的に金属を含まない(例えば、0.005重量%以下、好ましくは約0重量%の金属を含む)。
その後、パターンが、公知のプロセスによってイメージング層に形成され、下の層に転写される。例えば、イメージング層がフォトレジストの場合、イメージング層は、選択的にUV光によって露光され、一般的なフォトレジスト現像液によって現像され、パターンが形成される。この現像のステップは、下の基盤に至るまでの平坦化層はもちろん、全ての中間層を選択的に取り除く(パターンに従って)一方で、元々の基板の凹凸形状の少なくとも一部がそのまま残されることを認識されるであろう。イメージング層がインプリント層である場合は、所望のパターンを有したネガが、インプリント層に押圧され、そこに所望のパターンが形成される。その後、パターンが、インプリント層、全ての中間層、および、平坦化層を通してエッチされ、パターンが転写される。同様に、パターンがスタンプされたパターンの場合は、スタンプされたパターンがエッチされ、パターンが平坦化層を通して転写される。上記のステップを繰り返して、先行する層の上に第二の層を積層することができる、または、先行する層に公知のプロセスを施すことができる。
好適実施例の詳細な説明
図3(a)において、基板32が図示されている。基板32は、その上に構造または加工部34を備える表面を含む。これらの構造または加工部34は、前駆体の最終的な利用目的に依存して変化する凹凸形状および加工寸法を有している。ここで使用した、“凹凸形状”は、構造の高さまたは深さを表すのに対して、“加工寸法”は、構造の幅および長さを表す。幅と長さとが異なる場合は、より小さい数を加工寸法とみなすことが一般的である。
平坦化材料36は、一般的なプロセスを用いて、基板32に被覆される(図3(b)参照)。オプティカルフラットの表面のような平坦な表面(図示しない)が、前述したプロセスの条件下で、材料36に接触され、その結果、キュアリング/ハードニングして、材料36から平坦な表面を分離した後に、材料36の上に全体的に平坦な表面38ができる。従って、全体的に平坦な表面38は、いつでも後続の層、例えば一定の方法で塗布できるフォトレジスト層40の塗布(図3(d))が可能である。
図4(a)において、基板42が図示されている。基板42は、その中に形成される構造または加工部を有する表面を含む。基板42は、分離構造をもつ領域46に加えて、密集して配置された加工部を持つ領域48を有する。これは、上述したような従来技術の方法では問題が生じるであろう。
平坦化材料50は、一般的なプロセスを用いて基板42に被覆される(図4(b)参照)。オプティカルフラットの表面のような平坦な表面(図示しない)が、前述したプロセスの条件下で平坦化材料50に接触され、その結果、キュアリング/ハードニングして、平坦化材料50から平坦な表面を分離した後に、平坦化材料50の上に全体的に平坦な表面52ができる。従って、図4(d)に示すように、全体的に平坦な表面52は、いつでも後続の層、例えば一定の方法で塗布できるフォトレジスト層40、の塗布が可能になっている。
ここで、使用したように、全体的に平坦な表面は、その膜厚“T”が、約10,000μmの距離にわたって、約10%未満、好ましくは約5%未満で変化する層を表すことを意図する。本発明の方法により、上記のような全体的な平坦性を得る(膜厚が変化したとも言える)。さらに、キュアリングまたはハードニングされ、本発明によって形成された平坦化層は、約250Å未満、好ましくは150Å未満の各々の凹凸形状の加工部または構造の全てを覆う凹凸形状を有する。最終的に、本発明によりキュアリングまたはハードニングされた平坦化層は、約10,000μmの距離(基板表面の長さ)にわたって約600Å未満、好ましくは約500Å未満、さらに好ましくは約400Å未満の凹凸形状を有し、少なくとも二つの異なる形状密度の領域がこの距離にわたって存在する。
以下の例は、本発明に従う好適な方法を説明する。しかしながら、理解されるように、これらの例は、実例として提供されるものであり、何ら本発明の全範囲に対する制限としてとられるべきではない。
実施例1
ビアウェハ上の光硬化性平坦化材料
20gのエポキシ(D.E.R.354LV,ダウ ケミカル カンパニー)と、80gのPGME(アルドリッチ)と、1.2gのSarcat KI−85(サートマーから入手可能な光酸発生剤)とを、イエローライトを使用したラボで混合して光硬化性材料を用意する。材料を、0.2μmのフィルタによってフィルタし、洗浄済みの茶色の瓶に保存した。
基板として、ビアを含むシリコンウェハを使用した。シリコンウェハを、最初に、約1μmの厚さのシリコン ジオキサイド膜で被覆した。様々な形状密度の領域を有し、かつ、直径0.2〜1μmのビアを有するパターンをシリコン ジオキサイド膜内に形成した。ビアの深さは、約1μmであった。
約0.4μmの厚さの光硬化性平坦化材料の膜を、様々なパターンの形状密度領域を有するビアウェハの上にスピンコーティングした。ウェハを、押圧装置のチャンバに移動し、基板台上に配置した。平坦化材料の最上面を、光学的に透明なオプティカルフラットの対象表面に向けて配置した。押圧装置のチャンバの蓋を密封し、チャンバを20Torr未満に減圧した。チャンバの圧力を、約30秒間、20Torr未満に維持して残留溶媒を除去した。基板台を上昇させて、基板表面をオプティカルフラットの表面と約68psiの力で30秒間接触させた。基板表面が、68psiの押圧力でオプティカルフラットの表面と接触している間、パルス状の紫外(UV)光をオプティカルフラットの表面を通して照射し、平坦化材料をキュア(固化)した。UV光のパルスサイクルを、1秒間オン、5秒間オフとし、総露光時間を3秒とした。露光後に、押圧装置のチャンバを20Torr未満(全ての押圧プロセスが実行されたチャンバの圧力)から大気雰囲気に開放した。基板台を降下させてチャンバの蓋を開けた。基板を、オプティカルフラットの表面から分離して、特性解析のためにチャンバから取り出した。
押圧のステップを除いて同一のプロセス条件を用いて、同じ材料で、別のビアウェハを被覆した。この別のウェハも、作製して特性を調べ、基準のウェハとして使用した。
平坦化されたビアウェハの表面を、テンコール アルファステップ プロフィルメータ(Tencor Alphastep profilometer)を用いて特性解析した。平坦化された構造を覆う、隣接する形状密度領域にわたる200Å未満の凹凸形状を得た。異なる形状密度領域内にある構造の最上部の平坦化膜の厚さを、集束イオンビーム(FIB)マイクロスコープを用いて測定した。二つの形状密度の領域を測定した。約0.5μmのピッチで、約0.3μmの直径のビアを含む領域の構造の最上部の膜厚と、約1.75μmのピッチで、約0.3μmの直径のビアを含む領域の膜厚とを測定した。二つの領域で測定した、高い構造の最上部での膜厚は(ビアの最上部ではない)、それぞれ約0.38μmと約0.39μmであった。押圧されたウェハのそれと同じ形状密度を有する領域の上にある、基準のウェハの膜厚を測定した。基準のウェハの膜厚は、それぞれ約0.25μmと約0.44μmであった。
実施例2
トレンチウェハ上の光硬化性平坦化材料
20gのエポキシ(D.E.R.354LV,ダウ ケミカル カンパニー)と、80gのPGME(アルドリッチ)と、1.2gのSarcat KI−85(サートマーから入手可能な光酸発生剤)とを、イエローライトを使用したラボで混合して光硬化性材料を用意する。材料を、0.2μmのフィルタによってフィルタし、洗浄済みの茶色の瓶に保存した。
基板として、約1μmの深さのトレンチ構造を有するシリコンウェハを使用した。このウェハの形状密度は、4%から96%の範囲で変動した。
厚さ0.5μm未満の光硬化性平坦化材料の膜を、異なる形状密度の領域を含むシリコントレンチウェハ上に、スピンコーティングした。上記のウェハを、押圧装置のチャンバに移動し、基板台上に設置した。被覆された基板表面を、光学的に透明なオプティカルフラットの対象表面に向けて設置した。押圧装置のチャンバの蓋を密封し、チャンバを20Torr未満に減圧した。チャンバの圧力を約30秒間、20Torr未満に維持して残留溶媒を除去した。基板台を上昇させて、基板表面をオプティカルフラットの表面と約68psiの力で30秒間接触させた。基板表面が、68psiの押圧力でオプティカルフラットの表面と接触している間、UV光をオプティカルフラットの表面を通して10秒間照射し、平坦化材料をキュア(固化)した。露光後、基板台を降下させた。押圧装置のチャンバを20Torr未満(全ての押圧プロセスが実行されたチャンバの圧力)から大気雰囲気に開放した。チャンバの蓋を開けて、ウェハをオプティカルフラットの表面から分離し、特性解析のためにチャンバから取り出した。
押圧のステップを除いて同一のプロセス条件を用いて、同じ材料を使用して別のトレンチウェハを被覆した。基準として、このウェハを作製した。
平坦化されたトレンチウェハの表面を、テンコール アルファステップ プロフィルメータ(Tencor Alphastep profilometer)を用いて特性解析した。構造にわたって約250Åの表面凹凸形状が測定され、隣接する形状密度の領域に渡って約50Åを超える凹凸形状の変化は測定されなかった。基準のウェハは、凹凸形状の構造にわたって測定された約7000Åの表面凹凸形状を示した。平坦化されたウェハの異なる形状密度の領域内の、平坦化膜の厚さを走査型電子顕微鏡(SEM)を用いて測定した。形状密度が最大で約40%異なる形状密度領域上の膜厚を測定した。トレンチの上ではない、二つの形状密度の領域内で、構造の最上部での膜厚は約0.45μmであって、約0.012μm(120Å)の厚みの差があった。
実施例3
ビアウェハ上の熱硬化性平坦化材料
20gのエポキシ(D.E.R.354LV,ダウ ケミカル カンパニー)と、80gのPGME(アルドリッチ)と、1.0gのNACURE Super XC−A230 Catalyst(熱酸発生剤、キング インダストリーから入手可能)とを、イエローライトを使用したラボで混合して熱硬化性平坦化材料を用意する。材料を、0.2μmのフィルタによってフィルタし、洗浄済みの茶色の瓶に保存した。
基板としてビアを含むシリコンウェハを使用し。最初に、そのシリコンウェハを、約1μmの厚さのシリコン ジオキサイド膜で被覆した。直径0.2〜1μmのビアを含み、様々な形状密度領域を有するパターンを、シリコン ジオキサイド膜内に形成した。ビアの深さは、約1μmであった。
約0.2μmの厚さの熱硬化性平坦化材料の膜を、異なる形状密度領域を有するシリコンビアウェハの上にスピンコーティングした。ウェハを押圧装置のチャンバに移動し、基板台上に設置した。平坦化材料で被覆された基板表面を、光学的に透明なオプティカルフラットの対象表面に向けて設置した。チャンバの蓋を密封し、チャンバを約20Torr未満に減圧した。チャンバの圧力を、約60秒間、20Torr未満に維持して、残留溶媒を除去した。基板台を上昇させて、基板表面をオプティカルフラットの表面と約68psiの力で60秒間接触させた。基板表面が、68psiの押圧力でオプティカルフラットの表面と接触している間、パルス状のUV光/赤外加熱光をオプティカルフラットの表面を通して照射し、210秒間、少なくとも約130℃のキュアリング温度で平坦化材料をキュア(固化)した。キュアリングプロセスの後に、押圧装置のチャンバを20Torr未満(全ての押圧プロセスが実行されたチャンバの圧力)から大気雰囲気に開放した。基板台を降下させて、チャンバの蓋を開けた。基板をオプティカルフラットの表面から分離し、特性解析のためにチャンバから取り出した。
平坦化されたビアウェハの表面を、テンコール アルファステップ プロフィルメータ(Tencor Alphastep profilometer)を用いて特性解析した。隣接する形状密度領域にわたり、平坦化された構造を覆う、100Å未満および約300Å未満の表面凹凸形状を測定した。異なる形状密度領域内の構造の上にある平坦化膜の厚さを、SEMを用いて測定した。二つの異なる形状密度領域を測定した。約0.5μmのピッチで、約0.3μmの直径のビアを有する領域内の構造の最上部の膜厚を測定した。約1.75μmのピッチで、約0.3μmの直径のビアを有する領域内の構造の最上部の膜厚も測定した。測定された膜厚は、それぞれ約0.19μmと約0.21μmであった。
(a)〜(c)は、従来技術の平坦化プロセスのステップを示す。 (a)〜(c)は、基板が、形状密度の二つの異なる領域を有する場所の、他の従来技術による平坦化のステップを示す。 (a)〜(d)は、本発明の方法による、接触平坦化プロセスのステップを示す。 (a)〜(d)は、基板が、形状密度の二つの異なる領域を有する場合の、本発明の方法による、接触平坦化プロセスのステップを示す。

Claims (35)

  1. (a) 表面を有し、前記表面に複数の凹凸形状の加工部を含む基板を提供する工程と、
    (b) 前記表面上に平坦化層を形成する工程であって、前記平坦化層が、エポキシ、アクリレート、ビニルエーテル、ポリエステル、ポリイミド、ビニル含有化合物、およびそれらの混合物の、ポリマー、モノマー、オリゴマー、およびそれらの混合物からなる群から選択される化合物を含む工程と、
    (c) 前記平坦化層と部材の平坦面とを1秒から120分の時間接触させて、前記平坦面の平坦性を前記平坦化層に転写し、前記接触の間または後に、前記平坦化層をキュアリングまたはハードニングする工程であって、平坦化され、キュアリングまたはハードニングされた平坦化層が0.1〜1μmの膜厚を有し、後続の層の塗布が可能な全体的に平坦な表面を有する工程と、
    (d) 前記平坦化層の全体的に平坦な表面上に一つ以上の中間層を形成する任意の工程と、
    (e) 超小型電子の前駆体を作製するためのイメージング層を、前記中間層がある場合は前記中間層上に、前記中間層が無い場合は前記平坦化層の全体的に平坦な表面上に形成する工程と、
    (f) 前記イメージング層にパターンを形成する工程と、
    (g) 前記中間層がある場合は前記中間層と前記平坦化層とに前記パターンを転写する前記パターンの転写工程とを含み、
    前記パターンの転写工程後に、前記基板の表面が、元々の凹凸の少なくとも一部を保持することを特徴とする超小型電子の前駆体を形成する方法。
  2. さらに、前記平坦化層は、酸、酸発生剤、塩基、塩基発生剤、界面活性剤、光開始剤、熱開始剤、およびそれらの混合物からなる群から選択される成分を含む請求項1に記載の方法。
  3. 前記キュアリングまたはハードニングする工程は、前記平坦化層を実質的にキュアリングするために、前記平坦化層をUV光にさらす、請求項1に記載の方法。
  4. 前記キュアリングまたはハードニングする工程は、前記平坦化層を実質的にハードニングするために、前記平坦化層を加熱する、請求項1に記載の方法。
  5. 前記キュアリングまたはハードニング工程は前記平坦化層をそのT以下に冷却する、請求項4に記載の方法。
  6. 前記加熱は、放射熱源を用いて前記平坦化層を加熱する、請求項4に記載の方法。
  7. 前記加熱は、赤外線加熱を使用して前記平坦化層を加熱する、請求項4に記載の方法。
  8. 工程(c)の前記接触が、大気圧下で行われる請求項1に記載の方法。
  9. 工程(c)の前記接触が、減圧下で行われる請求項1に記載の方法。
  10. 工程(c)の前記接触が、高圧で行われる請求項1に記載の方法。
  11. 工程(c)の前記接触が、人工的な雰囲気下で実行される請求項1に記載の方法。
  12. 前記接触工程が、1〜1,000psiの押圧力で行われる請求項1に記載の方法。
  13. 前記接触工程が、室温前後から350℃の温度で行われる請求項1または12に記載の方法。
  14. 1つ以上の中間層を含み、また、各々の中間層は全く金属を含まない請求項1に記載の方法。
  15. 前記イメージング層はフォトレジスト層を含み、
    前記パターンの形成工程は、UV光で前記フォトレジスト層の一部を選択的に露光することを含み、
    前記パターンの転写工程は、前記フォトレジスト層、前記中間層があれば当該中間層、および前記平坦化層を現像することを含む請求項1に記載の方法。
  16. 前記イメージング層はインプリント層を含み、
    前記パターンの形成工程は、前記パターンの反転パターンを含む押圧面を有するネガと前記インプリント層とを接触させることを含み、
    前記パターンの転写工程は、前記中間層があれば当該中間層と、前記平坦化層とを通して前記パターンをエッチングすることを含む請求項1に記載の方法。
  17. 前記パターンの形成工程は、イメージング層中にパターンをスタンピングして、スタンピングされたパターンを形成することを含み、
    前記パターンの転写工程は、前記中間層があれば当該中間層と、前記平坦化層とを通して、前記パターンをエッチングすることを含む請求項1に記載の方法。
  18. さらに、前記超小型電子の前駆体に対して少なくとも工程(a)〜(g)のいくつかを繰り返す工程を含む請求項1に記載の方法。
  19. マスク層、バリア層、および反射防止層からなる群から選択される、少なくとも1つの中間層を含む請求項1に記載の方法。
  20. 工程(c)により、各々の基板の凹凸形状の加工部を全て覆う、250Å未満の凹凸形状を有する平坦化層を得る請求項1に記載の方法。
  21. 工程(c)により、少なくとも二つの異なる形状密度領域が存在する、10,000μmの基板表面の長さにわたって、600Å未満の凹凸形状を有する平坦化層を得る請求項1に記載の方法。
  22. 表面とおよび該表面上に複数の凹凸形状の加工部を有する基板と、
    前記表面上にある全体的に平坦なキュアリングまたはハードニングされた平坦化層であって、部材の平坦面と1秒から120分の時間接触させて、前記平坦面の平坦性を転写して形成され、エポキシ、アクリレート、ビニルエーテル、ポリエステル、ポリイミド、ビニル含有化合物、およびそれらの混合物の、ポリマー、モノマー、オリゴマー、およびそれらの混合物からなる群から選択される化合物を含む構成物から形成され、0.1〜1μmの膜厚を有する平坦化層と、
    前記平坦化層上に設けられる1つ以上の任意の中間層と、および
    前記中間層がある場合には当該中間層上、または、前記中間層が無い場合には前記平坦化層上にあるイメージング層とを含む組合体。
  23. 前記基板が、シリコンウェハ、化合物半導体ウェハ、SOIウェハ、ガラス基板、石英基板、有機ポリマー基板、複合材料基板、誘電体基板、金属基板、合金基板、シリコンカーバイド基板、シリコンナイトライド基板、サファイア基板、セラミック基板、および、耐熱性材料で形成された基板からなる群より選択される請求項22に記載の組合体。
  24. 前記構成物が、さらに酸、酸発生剤、塩基、塩基発生剤、界面活性剤、光開始剤、熱開始剤、およびそれらの混合物からなる群から選択される成分を含む請求項22に記載の組合体。
  25. 少なくとも1つの中間層を有し、前記中間層がマスク層、バリア層、および反射防止層からなる群から選択される請求項22に記載の組合体。
  26. 前記イメージング層が、フォトレジスト層、インプリント層、およびスタンピングされた層からなる群から選択される請求項22に記載の組合体。
  27. 前記平坦化層が、10,000μmの距離にわたって10%未満の膜厚変化率を有する請求項22に記載の組合体。
  28. 前記平坦化層が、各々の基板の凹凸形状の加工部を全て覆う、250Å未満の凹凸形状を有する請求項22に記載の組合体。
  29. 前記平坦化層が、少なくとも二つの異なる形状密度領域が存在する、10,000μmの基板表面の長さにわたって、600Å未満の凹凸形状を有する請求項22に記載の組合体。
  30. (a) 表面を有し、前記表面上に複数の凹凸形状を含む基板を提供する工程と、
    (b) 前記表面に平坦化層を形成する工程であって、前記平坦化層が、エポキシ、アクリレート、ビニルエーテル、ポリエステル、ポリイミド、ビニル含有化合物、および、それらの混合物の、ポリマー、モノマー、オリゴマー、およびそれらの混合物からなる群から選択される化合物を含む工程と、
    (c) 前記平坦化層と部材の平坦面とを1秒から120分の時間接触させて、前記平坦面の平坦性を前記平坦化層に転写し、前記接触の間または後に、前記平坦化層をキュアリングまたはハードニングする工程であって、平坦化され、キュアリングまたはハードニングされた平坦化層が0.1〜1μmの膜厚を有し、後続の層の塗布が可能な全体的に平坦な表面を示す工程と、
    (d) 1つ以上の実質的に金属を含まない中間層を前記平坦化層の全体的に平坦な表面上に形成する任意の工程と、
    (e) 前記中間層がある場合には当該中間層の上に、前記中間層が無い場合には前記平坦化層の全体的に平坦な表面上に、超小型電子の前駆体を作製するためのイメージング層が設けられる工程と、
    を含むことを特徴とする超小型電子の前駆体の製造方法。
  31. (a) 表面を有し、前記表面上に複数の凹凸形状を含む基板を提供する工程と、
    (b) 前記表面に平坦化層を形成する工程であって、前記平坦化層が、エポキシ、アクリレート、ビニルエーテル、ポリエステル、ポリイミド、ビニル含有化合物、およびそれらの混合物の、ポリマー、モノマー、オリゴマー、およびそれらの混合物からなる群から選択される化合物を含む工程と、
    (c) 前記平坦化層と部材の平坦面とを1秒から120分の時間接触させて、前記平坦面の平坦性を前記平坦化層に転写し、前記接触の間または後に、前記平坦化層をキュアリングまたはハードニングする工程であって、平坦化され、キュアリングまたはハードニングされた平坦化層が0.1〜1μmの膜厚を有し、後続の層の塗布が可能な全体的に平坦な表面を示す工程と、
    (d) 1つ以上の中間層を前記平坦化層の全体的に平坦な表面上に形成する任意の工程と、
    (e) 前記中間層がある場合には当該中間層の上に、前記中間層が無い場合には前記平坦化層の全体的に平坦な表面上に、超小型電子の前駆体を作製するためのイメージング層が設けられる工程と、
    を含むことを特徴とする超小型電子の前駆体の製造方法。
  32. 表面と前記表面上に複数の凹凸形状とを有する超小型電子の基板と、
    前記表面上に設けられ、全体的に平坦で、キュアリングまたはハードニングされた平坦化層であって、部材の平坦面と1秒から120分の時間接触させて、前記平坦面の平坦性を転写して形成され、エポキシ、アクリレート、ビニルエーテル、ポリエステル、ポリイミド、ビニル含有化合物、およびそれらの混合物の、ポリマー、モノマー、オリゴマー、およびそれらの混合物からなる群から選択される化合物を含む組成物から形成され、0.1〜1μmの膜厚を有する平坦化層と、
    平坦化層上に設けられる、実質的に金属を含まない1つ以上の任意の中間層と、
    前記中間層がある場合には前記中間層の上に、前記中間層が無い場合には前記平坦化層の上に設けられたイメージング層とを含むことを特徴とする組合体。
  33. 前記部材がオプティカルフラットを含む、請求項1に記載の方法。
  34. 前記部材がオプティカルフラットを含む、請求項30に記載の方法。
  35. 前記部材がオプティカルフラットを含む、請求項31に記載の方法。
JP2003571794A 2002-02-27 2003-02-25 多層リソグラフィープロセスに関する新規な平坦化方法 Expired - Fee Related JP4990479B2 (ja)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US36037402P 2002-02-27 2002-02-27
US60/360,374 2002-02-27
US10/373,897 US7455955B2 (en) 2002-02-27 2003-02-24 Planarization method for multi-layer lithography processing
US10/373,897 2003-02-24
PCT/US2003/006119 WO2003073164A2 (en) 2002-02-27 2003-02-25 Novel planarization method for multi-layer lithography processing

Publications (3)

Publication Number Publication Date
JP2005532576A JP2005532576A (ja) 2005-10-27
JP2005532576A5 JP2005532576A5 (ja) 2009-08-13
JP4990479B2 true JP4990479B2 (ja) 2012-08-01

Family

ID=27767596

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2003571794A Expired - Fee Related JP4990479B2 (ja) 2002-02-27 2003-02-25 多層リソグラフィープロセスに関する新規な平坦化方法

Country Status (6)

Country Link
US (1) US7455955B2 (ja)
EP (1) EP1485949A4 (ja)
JP (1) JP4990479B2 (ja)
AU (1) AU2003217804A1 (ja)
TW (1) TWI320874B (ja)
WO (1) WO2003073164A2 (ja)

Families Citing this family (147)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6873087B1 (en) * 1999-10-29 2005-03-29 Board Of Regents, The University Of Texas System High precision orientation alignment and gap control stages for imprint lithography processes
EP1303792B1 (en) * 2000-07-16 2012-10-03 Board Of Regents, The University Of Texas System High-resolution overlay alignement methods and systems for imprint lithography
KR100827741B1 (ko) * 2000-07-17 2008-05-07 보드 오브 리전츠, 더 유니버시티 오브 텍사스 시스템 임프린트 리소그래피 공정을 위한 자동 유체 분배 방법 및시스템
US20050274219A1 (en) * 2004-06-01 2005-12-15 Molecular Imprints, Inc. Method and system to control movement of a body for nano-scale manufacturing
US20060005657A1 (en) * 2004-06-01 2006-01-12 Molecular Imprints, Inc. Method and system to control movement of a body for nano-scale manufacturing
EP1352295B1 (en) * 2000-10-12 2015-12-23 Board of Regents, The University of Texas System Template for room temperature, low pressure micro- and nano-imprint lithography
US6964793B2 (en) * 2002-05-16 2005-11-15 Board Of Regents, The University Of Texas System Method for fabricating nanoscale patterns in light curable compositions using an electric field
US7666579B1 (en) * 2001-09-17 2010-02-23 Serenity Technologies, Inc. Method and apparatus for high density storage of analog data in a durable medium
US7455955B2 (en) 2002-02-27 2008-11-25 Brewer Science Inc. Planarization method for multi-layer lithography processing
US7037639B2 (en) * 2002-05-01 2006-05-02 Molecular Imprints, Inc. Methods of manufacturing a lithography template
US20030235787A1 (en) * 2002-06-24 2003-12-25 Watts Michael P.C. Low viscosity high resolution patterning material
US7019819B2 (en) * 2002-11-13 2006-03-28 Molecular Imprints, Inc. Chucking system for modulating shapes of substrates
US7077992B2 (en) * 2002-07-11 2006-07-18 Molecular Imprints, Inc. Step and repeat imprint lithography processes
US6908861B2 (en) * 2002-07-11 2005-06-21 Molecular Imprints, Inc. Method for imprint lithography using an electric field
US7070405B2 (en) * 2002-08-01 2006-07-04 Molecular Imprints, Inc. Alignment systems for imprint lithography
US7071088B2 (en) * 2002-08-23 2006-07-04 Molecular Imprints, Inc. Method for fabricating bulbous-shaped vias
US20040065252A1 (en) * 2002-10-04 2004-04-08 Sreenivasan Sidlgata V. Method of forming a layer on a substrate to facilitate fabrication of metrology standards
US8349241B2 (en) * 2002-10-04 2013-01-08 Molecular Imprints, Inc. Method to arrange features on a substrate to replicate features having minimal dimensional variability
US6980282B2 (en) * 2002-12-11 2005-12-27 Molecular Imprints, Inc. Method for modulating shapes of substrates
US7641840B2 (en) * 2002-11-13 2010-01-05 Molecular Imprints, Inc. Method for expelling gas positioned between a substrate and a mold
US6871558B2 (en) * 2002-12-12 2005-03-29 Molecular Imprints, Inc. Method for determining characteristics of substrate employing fluid geometries
US20040168613A1 (en) * 2003-02-27 2004-09-02 Molecular Imprints, Inc. Composition and method to form a release layer
US7452574B2 (en) * 2003-02-27 2008-11-18 Molecular Imprints, Inc. Method to reduce adhesion between a polymerizable layer and a substrate employing a fluorine-containing layer
US7323417B2 (en) * 2004-09-21 2008-01-29 Molecular Imprints, Inc. Method of forming a recessed structure employing a reverse tone process
US7186656B2 (en) * 2004-05-21 2007-03-06 Molecular Imprints, Inc. Method of forming a recessed structure employing a reverse tone process
US7179396B2 (en) * 2003-03-25 2007-02-20 Molecular Imprints, Inc. Positive tone bi-layer imprint lithography method
US7122079B2 (en) * 2004-02-27 2006-10-17 Molecular Imprints, Inc. Composition for an etching mask comprising a silicon-containing material
US6864181B2 (en) * 2003-03-27 2005-03-08 Lam Research Corporation Method and apparatus to form a planarized Cu interconnect layer using electroless membrane deposition
US7396475B2 (en) * 2003-04-25 2008-07-08 Molecular Imprints, Inc. Method of forming stepped structures employing imprint lithography
US7157036B2 (en) * 2003-06-17 2007-01-02 Molecular Imprints, Inc Method to reduce adhesion between a conformable region and a pattern of a mold
US7307118B2 (en) 2004-11-24 2007-12-11 Molecular Imprints, Inc. Composition to reduce adhesion between a conformable region and a mold
US20050160934A1 (en) 2004-01-23 2005-07-28 Molecular Imprints, Inc. Materials and methods for imprint lithography
US7790231B2 (en) * 2003-07-10 2010-09-07 Brewer Science Inc. Automated process and apparatus for planarization of topographical surfaces
CN100573318C (zh) 2003-09-17 2009-12-23 富士胶片株式会社 光敏平版印刷版及其制备方法
US7136150B2 (en) * 2003-09-25 2006-11-14 Molecular Imprints, Inc. Imprint lithography template having opaque alignment marks
US8211214B2 (en) * 2003-10-02 2012-07-03 Molecular Imprints, Inc. Single phase fluid imprint lithography method
US7090716B2 (en) * 2003-10-02 2006-08-15 Molecular Imprints, Inc. Single phase fluid imprint lithography method
US7064069B2 (en) * 2003-10-21 2006-06-20 Micron Technology, Inc. Substrate thinning including planarization
US6940181B2 (en) 2003-10-21 2005-09-06 Micron Technology, Inc. Thinned, strengthened semiconductor substrates and packages including same
US20050170670A1 (en) * 2003-11-17 2005-08-04 King William P. Patterning of sacrificial materials
EP1538482B1 (en) 2003-12-05 2016-02-17 Obducat AB Device and method for large area lithography
US20050156353A1 (en) * 2004-01-15 2005-07-21 Watts Michael P. Method to improve the flow rate of imprinting material
US7731492B2 (en) * 2004-09-10 2010-06-08 S.C. Johnson & Son, Inc. Fuel charge for melting plate candle assembly and method of supplying liquefied fuel to a wick
US8076386B2 (en) * 2004-02-23 2011-12-13 Molecular Imprints, Inc. Materials for imprint lithography
US7906180B2 (en) 2004-02-27 2011-03-15 Molecular Imprints, Inc. Composition for an etching mask comprising a silicon-containing material
US20050189676A1 (en) * 2004-02-27 2005-09-01 Molecular Imprints, Inc. Full-wafer or large area imprinting with multiple separated sub-fields for high throughput lithography
US7435074B2 (en) * 2004-03-13 2008-10-14 International Business Machines Corporation Method for fabricating dual damascence structures using photo-imprint lithography, methods for fabricating imprint lithography molds for dual damascene structures, materials for imprintable dielectrics and equipment for photo-imprint lithography used in dual damascence patterning
GB2413895A (en) 2004-05-07 2005-11-09 Seiko Epson Corp Patterning substrates by ink-jet or pad printing
EP1594001B1 (en) 2004-05-07 2015-12-30 Obducat AB Device and method for imprint lithography
US20050276919A1 (en) * 2004-06-01 2005-12-15 Molecular Imprints, Inc. Method for dispensing a fluid on a substrate
US20050275311A1 (en) * 2004-06-01 2005-12-15 Molecular Imprints, Inc. Compliant device for nano-scale manufacturing
DE602005022874D1 (de) * 2004-06-03 2010-09-23 Molecular Imprints Inc Fluidausgabe und tropfenausgabe nach bedarf für die herstellung im nanobereich
US20070228593A1 (en) * 2006-04-03 2007-10-04 Molecular Imprints, Inc. Residual Layer Thickness Measurement and Correction
US7205244B2 (en) * 2004-09-21 2007-04-17 Molecular Imprints Patterning substrates employing multi-film layers defining etch-differential interfaces
US7252777B2 (en) * 2004-09-21 2007-08-07 Molecular Imprints, Inc. Method of forming an in-situ recessed structure
US7241395B2 (en) * 2004-09-21 2007-07-10 Molecular Imprints, Inc. Reverse tone patterning on surfaces having planarity perturbations
US7547504B2 (en) * 2004-09-21 2009-06-16 Molecular Imprints, Inc. Pattern reversal employing thick residual layers
US7041604B2 (en) * 2004-09-21 2006-05-09 Molecular Imprints, Inc. Method of patterning surfaces while providing greater control of recess anisotropy
US7472576B1 (en) 2004-11-17 2009-01-06 State Of Oregon Acting By And Through The State Board Of Higher Education On Behalf Of Portland State University Nanometrology device standards for scanning probe microscopes and processes for their fabrication and use
WO2006060757A2 (en) * 2004-12-01 2006-06-08 Molecular Imprints, Inc. Eliminating printability of sub-resolution defects in imprint lithography
US7811505B2 (en) * 2004-12-07 2010-10-12 Molecular Imprints, Inc. Method for fast filling of templates for imprint lithography using on template dispense
US20060145398A1 (en) * 2004-12-30 2006-07-06 Board Of Regents, The University Of Texas System Release layer comprising diamond-like carbon (DLC) or doped DLC with tunable composition for imprint lithography templates and contact masks
US7523701B2 (en) * 2005-03-07 2009-04-28 Asml Netherlands B.V. Imprint lithography method and apparatus
KR101366793B1 (ko) * 2005-04-19 2014-02-25 닛산 가가쿠 고교 가부시키 가이샤 광가교 경화의 레지스트 하층막을 형성하기 위한 레지스트 하층막 형성 조성물
US20060277863A1 (en) * 2005-06-08 2006-12-14 Hopboard, Llc Hopboard roof platform
CN100365828C (zh) * 2005-06-09 2008-01-30 西安交通大学 聚合物太阳能电池的深亚微米三维异质结界面及制备方法
JP2007003661A (ja) * 2005-06-22 2007-01-11 Fujifilm Holdings Corp パターン形成方法
US7256131B2 (en) * 2005-07-19 2007-08-14 Molecular Imprints, Inc. Method of controlling the critical dimension of structures formed on a substrate
US7759407B2 (en) 2005-07-22 2010-07-20 Molecular Imprints, Inc. Composition for adhering materials together
US8557351B2 (en) 2005-07-22 2013-10-15 Molecular Imprints, Inc. Method for adhering materials together
US8808808B2 (en) 2005-07-22 2014-08-19 Molecular Imprints, Inc. Method for imprint lithography utilizing an adhesion primer layer
US7259102B2 (en) * 2005-09-30 2007-08-21 Molecular Imprints, Inc. Etching technique to planarize a multi-layer structure
US8142703B2 (en) 2005-10-05 2012-03-27 Molecular Imprints, Inc. Imprint lithography method
JP2009516388A (ja) * 2005-11-18 2009-04-16 レプリソールス テクノロジーズ アーベー 多層構造の形成方法
US7803308B2 (en) * 2005-12-01 2010-09-28 Molecular Imprints, Inc. Technique for separating a mold from solidified imprinting material
US7906058B2 (en) * 2005-12-01 2011-03-15 Molecular Imprints, Inc. Bifurcated contact printing technique
EP1972998A4 (en) * 2005-12-06 2009-12-30 Nissan Chemical Ind Ltd SILICON-CONTAINING SUB-JACENT LAYER FILM FORMATION COMPOSITION FOR FORMATION OF PHOTO-RETICULATION COAT-RESISTANT UNDERLYING LAYER FILM
US7670530B2 (en) * 2006-01-20 2010-03-02 Molecular Imprints, Inc. Patterning substrates employing multiple chucks
WO2007067488A2 (en) * 2005-12-08 2007-06-14 Molecular Imprints, Inc. Method and system for double-sided patterning of substrates
US20070138699A1 (en) * 2005-12-21 2007-06-21 Asml Netherlands B.V. Imprint lithography
GB2436163A (en) 2006-03-10 2007-09-19 Seiko Epson Corp Device fabrication by ink-jet printing materials into bank structures, and embossing tool
US7802978B2 (en) 2006-04-03 2010-09-28 Molecular Imprints, Inc. Imprinting of partial fields at the edge of the wafer
US8142850B2 (en) * 2006-04-03 2012-03-27 Molecular Imprints, Inc. Patterning a plurality of fields on a substrate to compensate for differing evaporation times
KR20090003153A (ko) 2006-04-03 2009-01-09 몰레큘러 임프린츠 인코퍼레이티드 다수의 필드와 정렬 마크를 갖는 기판을 동시에 패턴화하는방법
JP5112733B2 (ja) * 2006-04-11 2013-01-09 ローム・アンド・ハース・エレクトロニック・マテリアルズ,エル.エル.シー. フォトリソグラフィ用コーティング組成物
US8012395B2 (en) * 2006-04-18 2011-09-06 Molecular Imprints, Inc. Template having alignment marks formed of contrast material
US7547398B2 (en) * 2006-04-18 2009-06-16 Molecular Imprints, Inc. Self-aligned process for fabricating imprint templates containing variously etched features
US8215946B2 (en) 2006-05-18 2012-07-10 Molecular Imprints, Inc. Imprint lithography system and method
CN100544053C (zh) * 2006-05-31 2009-09-23 中国科学院微电子研究所 一种结合压印技术制备各向异性有机场效应管的方法
KR100857521B1 (ko) * 2006-06-13 2008-09-08 엘지디스플레이 주식회사 박막트랜지스터 제조용 몰드의 제조방법 및 그 제조장비
DE102006030265B4 (de) * 2006-06-30 2014-01-30 Globalfoundries Inc. Verfahren zum Verbessern der Planarität einer Oberflächentopographie in einer Mikrostruktur
DE102006030267B4 (de) * 2006-06-30 2009-04-16 Advanced Micro Devices, Inc., Sunnyvale Nano-Einprägetechnik mit erhöhter Flexibilität in Bezug auf die Justierung und die Formung von Strukturelementen
US7775785B2 (en) * 2006-12-20 2010-08-17 Brewer Science Inc. Contact planarization apparatus
JP4950771B2 (ja) * 2007-01-19 2012-06-13 東京エレクトロン株式会社 塗布処理方法、プログラム及びコンピュータ記憶媒体
US7709178B2 (en) * 2007-04-17 2010-05-04 Brewer Science Inc. Alkaline-resistant negative photoresist for silicon wet-etch without silicon nitride
FR2915832B1 (fr) * 2007-05-04 2009-07-03 Commissariat Energie Atomique Procede de fabrication de motifs au sein d'une couche de polymere
US20100264560A1 (en) * 2007-12-19 2010-10-21 Zhuqing Zhang Imprint lithography apparatus and method
WO2009120394A2 (en) * 2008-01-04 2009-10-01 Massachusetts Institute Of Technology Method and apparatus for forming structures of polymer nanobeads
JP2009283557A (ja) * 2008-05-20 2009-12-03 Sumitomo Electric Ind Ltd 半導体光デバイスの製造方法
CN102089708A (zh) * 2008-06-09 2011-06-08 得克萨斯州大学系统董事会 适应性纳米形貌雕刻
US8232136B2 (en) 2008-08-07 2012-07-31 Massachusetts Institute Of Technology Method and apparatus for simultaneous lateral and vertical patterning of molecular organic films
WO2010028390A2 (en) 2008-09-08 2010-03-11 Massachusetts Institute Of Technology Method and apparatus for super radiant laser action in half wavelength thick organic semiconductor microcavities
US20100109195A1 (en) 2008-11-05 2010-05-06 Molecular Imprints, Inc. Release agent partition control in imprint lithography
US8739390B2 (en) 2008-12-16 2014-06-03 Massachusetts Institute Of Technology Method for microcontact printing of MEMS
US8963262B2 (en) 2009-08-07 2015-02-24 Massachusettes Institute Of Technology Method and apparatus for forming MEMS device
US8084185B2 (en) * 2009-01-08 2011-12-27 International Business Machines Corporation Substrate planarization with imprint materials and processes
KR101708256B1 (ko) 2009-07-29 2017-02-20 닛산 가가쿠 고교 가부시키 가이샤 나노 임프린트용 레지스트 하층막 형성 조성물
US8293451B2 (en) * 2009-08-18 2012-10-23 International Business Machines Corporation Near-infrared absorbing film compositions
US20120064720A1 (en) * 2010-09-10 2012-03-15 Taiwan Semiconductor Manufacturing Company, Ltd. Planarization control for semiconductor devices
TWI414647B (zh) * 2010-09-27 2013-11-11 私立中原大學 製作次微米圖樣化藍寶石基板之方法
GB2485337A (en) * 2010-11-01 2012-05-16 Plastic Logic Ltd Method for providing device-specific markings on devices
JP2013003167A (ja) * 2011-06-10 2013-01-07 Shin Etsu Chem Co Ltd パターン形成方法
JP5889568B2 (ja) 2011-08-11 2016-03-22 メルク、パテント、ゲゼルシャフト、ミット、ベシュレンクテル、ハフツングMerck Patent GmbH 酸化タングステン膜形成用組成物およびそれを用いた酸化タングステン膜の製造法
NL2009487A (en) 2011-10-14 2013-04-16 Asml Netherlands Bv Substrate holder, lithographic apparatus, device manufacturing method, and method of manufacturing a substrate holder.
US8865599B2 (en) * 2011-11-08 2014-10-21 Brewer Science Inc. Self-leveling planarization materials for microelectronic topography
US8772157B2 (en) * 2012-11-02 2014-07-08 Shanghai Huali Microelectronics Corporation Method of forming Cu interconnects
US9315636B2 (en) 2012-12-07 2016-04-19 Az Electronic Materials (Luxembourg) S.A.R.L. Stable metal compounds, their compositions and methods
US9417515B2 (en) 2013-03-14 2016-08-16 Applied Materials, Inc. Ultra-smooth layer ultraviolet lithography mirrors and blanks, and manufacturing and lithography systems therefor
US9612521B2 (en) 2013-03-12 2017-04-04 Applied Materials, Inc. Amorphous layer extreme ultraviolet lithography blank, and manufacturing and lithography systems therefor
US9354508B2 (en) 2013-03-12 2016-05-31 Applied Materials, Inc. Planarized extreme ultraviolet lithography blank, and manufacturing and lithography systems therefor
US9632411B2 (en) 2013-03-14 2017-04-25 Applied Materials, Inc. Vapor deposition deposited photoresist, and manufacturing and lithography systems therefor
US20140272684A1 (en) 2013-03-12 2014-09-18 Applied Materials, Inc. Extreme ultraviolet lithography mask blank manufacturing system and method of operation therefor
US9201305B2 (en) 2013-06-28 2015-12-01 Az Electronic Materials (Luxembourg) S.A.R.L. Spin-on compositions of soluble metal oxide carboxylates and methods of their use
US9296922B2 (en) 2013-08-30 2016-03-29 Az Electronic Materials (Luxembourg) S.A.R.L. Stable metal compounds as hardmasks and filling materials, their compositions and methods of use
JP6357749B2 (ja) * 2013-09-27 2018-07-18 大日本印刷株式会社 基板再生方法及びインプリントモールドの製造方法
US9409793B2 (en) 2014-01-14 2016-08-09 Az Electronic Materials (Luxembourg) S.A.R.L. Spin coatable metallic hard mask compositions and processes thereof
US9418836B2 (en) * 2014-01-14 2016-08-16 Az Electronic Materials (Luxembourg) S.A.R.L. Polyoxometalate and heteropolyoxometalate compositions and methods for their use
KR102021484B1 (ko) * 2014-10-31 2019-09-16 삼성에스디아이 주식회사 막 구조물 제조 방법, 막 구조물, 및 패턴형성방법
KR102310120B1 (ko) 2015-01-30 2021-10-08 삼성전자주식회사 하드마스크 물질막의 형성 방법
JP6437387B2 (ja) * 2015-05-25 2018-12-12 東芝メモリ株式会社 基板平坦化方法
KR101926023B1 (ko) * 2015-10-23 2018-12-06 삼성에스디아이 주식회사 막 구조물 제조 방법 및 패턴형성방법
JP6542141B2 (ja) * 2016-03-08 2019-07-10 東芝メモリ株式会社 パターン形成方法
JP6538592B2 (ja) * 2016-03-08 2019-07-03 東芝メモリ株式会社 パターン形成方法
WO2018170474A1 (en) * 2017-03-17 2018-09-20 University Of Massachusetts Direct printing of 3-d microbatteries and electrodes
US11190868B2 (en) 2017-04-18 2021-11-30 Massachusetts Institute Of Technology Electrostatic acoustic transducer utilized in a headphone device or an earbud
KR102110991B1 (ko) * 2017-08-09 2020-05-14 삼성에스디아이 주식회사 막 구조물 제조 방법, 막 구조물, 및 패턴형성방법
WO2019048393A1 (en) 2017-09-06 2019-03-14 AZ Electronic Materials (Luxembourg) S.à.r.l. AN INORGANIC OXIDE-CONTAINING VINYL DEPOSITION COMPOSITION USEFUL AS HARD MASKS AND FILLING MATERIALS HAVING ENHANCED THERMAL STABILITY
CN112219164A (zh) * 2018-03-26 2021-01-12 应用材料公司 用于生产多层压印母版的方法、多层压印母版及多层压印母版的用途
JP7175620B2 (ja) * 2018-03-30 2022-11-21 キヤノン株式会社 型を用いて基板上の組成物を成形する成形装置、成形方法、および物品の製造方法
JP7071231B2 (ja) * 2018-06-28 2022-05-18 キヤノン株式会社 平坦化装置、平坦化方法、物品製造方法及び液滴配置パターンデータの作成方法
JP7299685B2 (ja) * 2018-10-11 2023-06-28 キヤノン株式会社 膜形成装置、膜形成方法および物品製造方法
US11201051B2 (en) * 2018-11-13 2021-12-14 Tokyo Electron Limited Method for layer by layer growth of conformal films
CN109445247B (zh) * 2018-11-16 2020-06-19 京东方科技集团股份有限公司 压印模板及其制备方法和压印方法
US10777420B1 (en) 2019-02-26 2020-09-15 United Microelectronics Corp. Etching back method
JP7286400B2 (ja) 2019-04-24 2023-06-05 キヤノン株式会社 成形装置、決定方法、および物品製造方法
JP2022057711A (ja) 2020-09-30 2022-04-11 キヤノン株式会社 膜形成方法、物品の製造方法、供給装置、膜形成装置、および基板
JP2023008475A (ja) * 2021-07-06 2023-01-19 信越化学工業株式会社 インプリントモールドおよびその製造方法ならびに再生インプリントモールドの製造方法

Family Cites Families (36)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4515828A (en) 1981-01-02 1985-05-07 International Business Machines Corporation Planarization method
JPH0642516B2 (ja) * 1985-12-28 1994-06-01 日本電気株式会社 半導体装置の製造方法
US6048799A (en) 1987-02-27 2000-04-11 Lucent Technologies Inc. Device fabrication involving surface planarization
US5736424A (en) 1987-02-27 1998-04-07 Lucent Technologies Inc. Device fabrication involving planarization
US6391798B1 (en) 1987-02-27 2002-05-21 Agere Systems Guardian Corp. Process for planarization a semiconductor substrate
JPS63253630A (ja) * 1987-04-10 1988-10-20 Nippon Denso Co Ltd 半導体装置の製造方法
US5068711A (en) 1989-03-20 1991-11-26 Fujitsu Limited Semiconductor device having a planarized surface
US5650261A (en) 1989-10-27 1997-07-22 Rohm And Haas Company Positive acting photoresist comprising a photoacid, a photobase and a film forming acid-hardening resin system
US5320934A (en) * 1991-06-28 1994-06-14 Misium George R Bilayer photolithographic process
EP0560617A3 (en) 1992-03-13 1993-11-24 Kawasaki Steel Co Method of manufacturing insulating film on semiconductor device and apparatus for carrying out the same
US5756256A (en) 1992-06-05 1998-05-26 Sharp Microelectronics Technology, Inc. Silylated photo-resist layer and planarizing method
JPH06291199A (ja) * 1993-04-06 1994-10-18 Sony Corp 層間絶縁膜の平坦化方法
US5434107A (en) * 1994-01-28 1995-07-18 Texas Instruments Incorporated Method for planarization
JPH07221006A (ja) * 1994-01-28 1995-08-18 Sony Corp 平坦化膜の形成方法およびその形成装置
EP0683511B1 (en) * 1994-05-18 2000-02-23 AT&T Corp. Device fabrication involving planarization
US5679610A (en) * 1994-12-15 1997-10-21 Kabushiki Kaisha Toshiba Method of planarizing a semiconductor workpiece surface
US5967030A (en) 1995-11-17 1999-10-19 Micron Technology, Inc. Global planarization method and apparatus
US5855811A (en) 1996-10-03 1999-01-05 Micron Technology, Inc. Cleaning composition containing tetraalkylammonium salt and use thereof in semiconductor fabrication
JPH10135198A (ja) * 1996-10-30 1998-05-22 Nippon Telegr & Teleph Corp <Ntt> 薄膜形成方法
US5985524A (en) 1997-03-28 1999-11-16 International Business Machines Incorporated Process for using bilayer photoresist
US5886391A (en) * 1997-04-18 1999-03-23 Micron Technology, Inc. Antireflective structure
US6331488B1 (en) 1997-05-23 2001-12-18 Micron Technology, Inc. Planarization process for semiconductor substrates
US6468718B1 (en) * 1999-02-04 2002-10-22 Clariant Finance (Bvi) Limited Radiation absorbing polymer, composition for radiation absorbing coating, radiation absorbing coating and application thereof as anti-reflective coating
US5935762A (en) 1997-10-14 1999-08-10 Industrial Technology Research Institute Two-layered TSI process for dual damascene patterning
US6523803B1 (en) * 1998-09-03 2003-02-25 Micron Technology, Inc. Mold apparatus used during semiconductor device fabrication
US6589889B2 (en) 1999-09-09 2003-07-08 Alliedsignal Inc. Contact planarization using nanoporous silica materials
US6399512B1 (en) * 2000-06-15 2002-06-04 Cypress Semiconductor Corporation Method of making metallization and contact structures in an integrated circuit comprising an etch stop layer
AU2001280980A1 (en) 2000-08-01 2002-02-13 Board Of Regents, The University Of Texas System Methods for high-precision gap and orientation sensing between a transparent template and substrate for imprint lithography
TWI226103B (en) 2000-08-31 2005-01-01 Georgia Tech Res Inst Fabrication of semiconductor devices with air gaps for ultra low capacitance interconnections and methods of making same
EP1199561A1 (en) 2000-10-16 2002-04-24 Matsushita Electric Industrial Co., Ltd. Hydrocarbon sensor and method for producing the same
TW451327B (en) * 2000-11-06 2001-08-21 United Microelectronics Corp Dual damascene process
US6387787B1 (en) * 2001-03-02 2002-05-14 Motorola, Inc. Lithographic template and method of formation and use
US6716767B2 (en) 2001-10-31 2004-04-06 Brewer Science, Inc. Contact planarization materials that generate no volatile byproducts or residue during curing
US7455955B2 (en) 2002-02-27 2008-11-25 Brewer Science Inc. Planarization method for multi-layer lithography processing
EP1512049A1 (en) 2002-06-07 2005-03-09 Obducat AB Method for transferring a pattern
US20040040644A1 (en) * 2002-08-30 2004-03-04 Jer-Haur Chang Micro hot embossing method for quick heating and cooling, and uniformly pressing

Also Published As

Publication number Publication date
WO2003073164A2 (en) 2003-09-04
TWI320874B (en) 2010-02-21
US20040029041A1 (en) 2004-02-12
AU2003217804A1 (en) 2003-09-09
US7455955B2 (en) 2008-11-25
JP2005532576A (ja) 2005-10-27
EP1485949A4 (en) 2007-04-25
EP1485949A2 (en) 2004-12-15
AU2003217804A8 (en) 2003-09-09
WO2003073164A3 (en) 2003-12-18
TW200405122A (en) 2004-04-01

Similar Documents

Publication Publication Date Title
JP4990479B2 (ja) 多層リソグラフィープロセスに関する新規な平坦化方法
KR100905134B1 (ko) 경화동안 휘발성 부산물 또는 잔류물을 발생하지 않는접촉 평탄화 재료
US7179396B2 (en) Positive tone bi-layer imprint lithography method
US7435074B2 (en) Method for fabricating dual damascence structures using photo-imprint lithography, methods for fabricating imprint lithography molds for dual damascene structures, materials for imprintable dielectrics and equipment for photo-imprint lithography used in dual damascence patterning
US7396475B2 (en) Method of forming stepped structures employing imprint lithography
US8057725B2 (en) Capillary imprinting technique
JP5290204B2 (ja) 微細パターンマスクおよびその製造方法、ならびにそれを用いた微細パターンの形成方法
JP4852360B2 (ja) 多層リソグラフィプロセスにおいて用いられる複素環芳香族構造物を含む基層組成物、リソグラフィ構造物、材料層または材料要素を基板上に形成させる方法
US7947608B2 (en) Positive tone bi-layer method
JP2007506281A (ja) 位置合わせマークを有するインプリント・リソグラフィ・テンプレート
JP2005515617A (ja) 非粘着性のモールドを使用する、パターン化された構造の複製
US7906272B2 (en) Method of forming a pattern of a semiconductor device
JP2018160537A (ja) パターン形成方法
JP2012061599A (ja) ベンゾシクロブテン樹脂のインプリント技術への適用及び当該技術によるパターン形成方法
Kim et al. Fabrication of Multilevel layers for Optical Printed Circuit Board by Modified Two-step Photolithography

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20060222

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20081118

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20090216

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20090223

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20090318

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20090326

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20090417

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20090424

A524 Written submission of copy of amendment under article 19 pct

Free format text: JAPANESE INTERMEDIATE CODE: A524

Effective date: 20090508

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20100518

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20100818

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20100825

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20100917

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20110301

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20110530

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20110606

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20110901

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20120410

A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20120502

R150 Certificate of patent or registration of utility model

Free format text: JAPANESE INTERMEDIATE CODE: R150

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20150511

Year of fee payment: 3

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

LAPS Cancellation because of no payment of annual fees