SG10201505535VA - Aqueous cleaner for the removal of post-etch residues - Google Patents

Aqueous cleaner for the removal of post-etch residues

Info

Publication number
SG10201505535VA
SG10201505535VA SG10201505535VA SG10201505535VA SG10201505535VA SG 10201505535V A SG10201505535V A SG 10201505535VA SG 10201505535V A SG10201505535V A SG 10201505535VA SG 10201505535V A SG10201505535V A SG 10201505535VA SG 10201505535V A SG10201505535V A SG 10201505535VA
Authority
SG
Singapore
Prior art keywords
post
removal
etch residues
aqueous cleaner
cleaner
Prior art date
Application number
SG10201505535VA
Inventor
Jeffrey Barnes
Steven Lippy
Peng Zhang
Rekha Rajaram
Original Assignee
Entegris Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Entegris Inc filed Critical Entegris Inc
Publication of SG10201505535VA publication Critical patent/SG10201505535VA/en

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D3/00Other compounding ingredients of detergent compositions covered in group C11D1/00
    • C11D3/02Inorganic compounds ; Elemental compounds
    • C11D3/04Water-soluble compounds
    • C11D3/042Acids
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/42Stripping or agents therefor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02057Cleaning during device manufacture
    • H01L21/0206Cleaning during device manufacture during, before or after processing of insulating layers
    • H01L21/02063Cleaning during device manufacture during, before or after processing of insulating layers the processing being the formation of vias or contact holes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02057Cleaning during device manufacture
    • H01L21/02068Cleaning during device manufacture during, before or after processing of conductive layers, e.g. polysilicon or amorphous silicon layers
    • H01L21/02071Cleaning during device manufacture during, before or after processing of conductive layers, e.g. polysilicon or amorphous silicon layers the processing being a delineation, e.g. RIE, of conductive layers
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D2111/00Cleaning compositions characterised by the objects to be cleaned; Cleaning compositions characterised by non-standard cleaning or washing processes
    • C11D2111/10Objects to be cleaned
    • C11D2111/14Hard surfaces
    • C11D2111/20Industrial or commercial equipment, e.g. reactors, tubes or engines

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Life Sciences & Earth Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Oil, Petroleum & Natural Gas (AREA)
  • Wood Science & Technology (AREA)
  • Organic Chemistry (AREA)
  • Cleaning Or Drying Semiconductors (AREA)
  • Detergent Compositions (AREA)
  • Cleaning And De-Greasing Of Metallic Materials By Chemical Methods (AREA)
SG10201505535VA 2010-07-16 2011-07-15 Aqueous cleaner for the removal of post-etch residues SG10201505535VA (en)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US36503410P 2010-07-16 2010-07-16

Publications (1)

Publication Number Publication Date
SG10201505535VA true SG10201505535VA (en) 2015-09-29

Family

ID=45470097

Family Applications (2)

Application Number Title Priority Date Filing Date
SG2013003736A SG187551A1 (en) 2010-07-16 2011-07-15 Aqueous cleaner for the removal of post-etch residues
SG10201505535VA SG10201505535VA (en) 2010-07-16 2011-07-15 Aqueous cleaner for the removal of post-etch residues

Family Applications Before (1)

Application Number Title Priority Date Filing Date
SG2013003736A SG187551A1 (en) 2010-07-16 2011-07-15 Aqueous cleaner for the removal of post-etch residues

Country Status (8)

Country Link
US (2) US9063431B2 (en)
EP (1) EP2593964A4 (en)
JP (1) JP2013533631A (en)
KR (1) KR20130088847A (en)
CN (1) CN103003923A (en)
SG (2) SG187551A1 (en)
TW (1) TWI548738B (en)
WO (1) WO2012009639A2 (en)

Families Citing this family (106)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8685909B2 (en) * 2006-09-21 2014-04-01 Advanced Technology Materials, Inc. Antioxidants for post-CMP cleaning formulations
US8802609B2 (en) * 2007-10-29 2014-08-12 Ekc Technology Inc Nitrile and amidoxime compounds and methods of preparation for semiconductor processing
JP6101421B2 (en) 2010-08-16 2017-03-22 インテグリス・インコーポレーテッド Etching solution for copper or copper alloy
EP2606158A4 (en) 2010-08-20 2017-04-26 Entegris Inc. Sustainable process for reclaiming precious metals and base metals from e-waste
KR101868319B1 (en) 2010-10-06 2018-06-15 엔테그리스, 아이엔씨. Composition and process for selectively etching metal nitrides
KR102064487B1 (en) 2011-01-13 2020-01-10 엔테그리스, 아이엔씨. Formulations for the removal of particles generated by cerium-containing solutions
JP5933950B2 (en) 2011-09-30 2016-06-15 アドバンスド テクノロジー マテリアルズ,インコーポレイテッド Etching solution for copper or copper alloy
JP6033314B2 (en) * 2011-10-05 2016-11-30 アバンター・パフォーマンス・マテリアルズ・インコーポレイテッド Microelectronic substrate cleaning composition comprising a copper / azole polymer inhibitor
US10176979B2 (en) 2012-02-15 2019-01-08 Entegris, Inc. Post-CMP removal using compositions and method of use
CN102677072B (en) * 2012-05-03 2016-06-15 中国石油大学(华东) A kind of galvanizing by dipping and allumen steel corrosion-retarding detergent
SG10201610541UA (en) 2012-05-18 2017-01-27 Entegris Inc Composition and process for stripping photoresist from a surface including titanium nitride
CN104662643B (en) * 2012-06-13 2016-03-30 三菱瓦斯化学株式会社 Cleaning fluid composition, the cleaning method of semiconductor element and the manufacture method of semiconductor element
US9765288B2 (en) 2012-12-05 2017-09-19 Entegris, Inc. Compositions for cleaning III-V semiconductor materials and methods of using same
US9102901B2 (en) 2012-12-20 2015-08-11 Rohm And Haas Electronic Materials Llc Methods and compositions for removal of metal hardmasks
US8987133B2 (en) 2013-01-15 2015-03-24 International Business Machines Corporation Titanium oxynitride hard mask for lithographic patterning
JP6363116B2 (en) 2013-03-04 2018-07-25 インテグリス・インコーポレーテッド Compositions and methods for selectively etching titanium nitride
WO2014178424A1 (en) * 2013-05-02 2014-11-06 富士フイルム株式会社 Etching method, etching solution used in same, etching solution kit, and method for manufacturing semiconductor substrate product
KR101659829B1 (en) * 2013-05-02 2016-10-10 후지필름 가부시키가이샤 Etching solution, etching solution kit, etching method using same, and method for manufacturing semiconductor substrate product
WO2014178426A1 (en) * 2013-05-02 2014-11-06 富士フイルム株式会社 Etching method, etching liquid and etching liquid kit to be used in said method, and semiconductor substrate product manufacturing method
CN105247663B (en) * 2013-05-31 2018-03-23 日立化成株式会社 Etch combination
SG10201708364XA (en) * 2013-06-06 2017-11-29 Entegris Inc Compositions and methods for selectively etching titanium nitride
CN104238287A (en) * 2013-06-20 2014-12-24 安集微电子科技(上海)有限公司 Cleaning solution for removing photoresist residues
CN112442374A (en) 2013-07-31 2021-03-05 恩特格里斯公司 Aqueous formulations with Cu/W compatibility for removal of metal hardmask and post-etch residues
CN104345583B (en) * 2013-08-02 2020-07-03 安集微电子科技(上海)股份有限公司 Cleaning solution for removing photoresist residues
WO2015031620A1 (en) 2013-08-30 2015-03-05 Advanced Technology Materials, Inc. Compositions and methods for selectively etching titanium nitride
US20150104952A1 (en) 2013-10-11 2015-04-16 Ekc Technology, Inc. Method and composition for selectively removing metal hardmask and other residues from semiconductor device substrates comprising low-k dielectric material and copper
EP3060642B1 (en) 2013-10-21 2019-11-06 FujiFilm Electronic Materials USA, Inc. Cleaning formulations for removing residues on surfaces
CN108485840B (en) 2013-12-06 2020-12-29 富士胶片电子材料美国有限公司 Cleaning formulation for removing residues on surfaces
EP3080240A4 (en) * 2013-12-11 2017-07-19 FujiFilm Electronic Materials USA, Inc. Cleaning formulation for removing residues on surfaces
CN103710180B (en) * 2013-12-12 2016-04-13 内蒙古河西航天科技发展有限公司 A kind of Silicate dirt remover
US10340150B2 (en) 2013-12-16 2019-07-02 Entegris, Inc. Ni:NiGe:Ge selective etch formulations and method of using same
SG10201805234YA (en) 2013-12-20 2018-08-30 Entegris Inc Use of non-oxidizing strong acids for the removal of ion-implanted resist
US9472420B2 (en) 2013-12-20 2016-10-18 Air Products And Chemicals, Inc. Composition for titanium nitride hard mask and etch residue removal
WO2015103146A1 (en) 2013-12-31 2015-07-09 Advanced Technology Materials, Inc. Formulations to selectively etch silicon and germanium
US20160340620A1 (en) 2014-01-29 2016-11-24 Advanced Technology Materials, Inc. Post chemical mechanical polishing formulations and method of use
WO2015119925A1 (en) 2014-02-05 2015-08-13 Advanced Technology Materials, Inc. Non-amine post-cmp compositions and method of use
KR102101722B1 (en) 2014-05-02 2020-04-20 미쯔비시 가스 케미칼 컴파니, 인코포레이티드 Semiconductor element cleaning liquid and cleaning method
WO2015173730A1 (en) * 2014-05-13 2015-11-19 Basf Se Tin pull-back and cleaning composition
CN115368982A (en) * 2014-06-04 2022-11-22 恩特格里斯公司 Anti-reflective coating cleaning and post etch residue removal composition with metal, dielectric and nitride compatibility
US20150368557A1 (en) 2014-06-23 2015-12-24 Hyosan Lee Metal etchant compositions and methods of fabricating a semiconductor device using the same
CN107155367B (en) * 2014-06-30 2021-12-21 恩特格里斯公司 Aqueous and semi-aqueous cleaners utilizing tungsten and cobalt compatibility to remove post-etch residue
US9580672B2 (en) * 2014-09-26 2017-02-28 Taiwan Semiconductor Manufacturing Company, Ltd. Cleaning composition and method for semiconductor device fabrication
WO2016069576A1 (en) * 2014-10-31 2016-05-06 Entegris, Inc. Non-amine post-cmp compositions and method of use
CN106796878B (en) 2014-11-13 2021-02-09 三菱瓦斯化学株式会社 Cleaning liquid for semiconductor element with suppressed damage of material containing tungsten, and method for cleaning semiconductor element using same
KR102398801B1 (en) 2014-11-13 2022-05-17 미쯔비시 가스 케미칼 컴파니, 인코포레이티드 Semiconductor element cleaning solution that suppresses damage to cobalt, and method for cleaning semiconductor element using same
US10377978B2 (en) 2014-11-13 2019-08-13 Mitsubishi Gas Chemical Company, Inc. Alkaline earth metal-containing cleaning solution for cleaning semiconductor element, and method for cleaning semiconductor element using same
TWI690780B (en) * 2014-12-30 2020-04-11 美商富士軟片電子材料美國股份有限公司 Stripping compositions for removing photoresists from semiconductor substrates
CN104674223A (en) * 2015-02-11 2015-06-03 佛山市顺德区宝铜金属科技有限公司 Non-ferrous metal cleaning polishing agent
CN104630780A (en) * 2015-02-11 2015-05-20 佛山市顺德区宝铜金属科技有限公司 Cleaning and brightening solution for copper ware
CN104651843A (en) * 2015-02-11 2015-05-27 佛山市顺德区宝铜金属科技有限公司 Stainless steel ware cleaning and polishing agent
CN104630802A (en) * 2015-02-11 2015-05-20 佛山市顺德区宝铜金属科技有限公司 Metal product cleaning and brightening agent
CN104630800A (en) * 2015-02-11 2015-05-20 佛山市顺德区宝铜金属科技有限公司 Copper product cleaning and brightening agent
CN104630776A (en) * 2015-02-11 2015-05-20 佛山市顺德区宝铜金属科技有限公司 Metal product cleaning brightening agent
KR102269329B1 (en) * 2015-03-20 2021-06-25 동우 화인켐 주식회사 Etchant composition for copper-containing metal layer and preparing method of an array substrate for liquid crystal display using same
JP6612891B2 (en) * 2015-03-31 2019-11-27 バーサム マテリアルズ ユーエス,リミティド ライアビリティ カンパニー Cleaning formulation
KR102427699B1 (en) 2015-04-27 2022-08-01 삼성전자주식회사 Compositions for removing photoresist and methods of manufacturing semiconductor devices using the same
JP6761166B2 (en) * 2015-07-23 2020-09-23 セントラル硝子株式会社 Wet etching method and etching solution
JP6681750B2 (en) * 2016-03-04 2020-04-15 東京応化工業株式会社 Cleaning liquid and cleaning method
CN109195720B (en) * 2016-05-23 2021-10-29 富士胶片电子材料美国有限公司 Stripping composition for removing photoresist from semiconductor substrate
US10866516B2 (en) * 2016-08-05 2020-12-15 Taiwan Semiconductor Manufacturing Co., Ltd. Metal-compound-removing solvent and method in lithography
US10622211B2 (en) * 2016-08-05 2020-04-14 Taiwan Semiconductor Manufacturing Co., Ltd. Metal-compound-removing solvent and method in lithography
CN106479696A (en) * 2016-08-31 2017-03-08 惠晶显示科技(苏州)有限公司 Cleanout fluid for harsh the given birth to foulant of liquid crystal display panel glass and preparation method thereof
WO2018058341A1 (en) * 2016-09-28 2018-04-05 Dow Global Technologies Llc Sulfoxide/glycol ether based solvents for use in the electronics industry
EP3523241A4 (en) 2016-10-06 2020-05-13 FujiFilm Electronic Materials USA, Inc. Cleaning formulations for removing residues on semiconductor substrates
CN110023477A (en) 2016-11-25 2019-07-16 恩特格里斯公司 For removing the cleaning compositions of post-etch residue
US10866511B2 (en) * 2016-12-15 2020-12-15 Taiwan Semiconductor Manufacturing Co., Ltd. Extreme ultraviolet photolithography method with developer composition
EP3563411B1 (en) * 2016-12-28 2021-04-14 Invensas Bonding Technologies, Inc. Method of processing a substrate on a temporary substrate
US9786489B1 (en) * 2017-03-17 2017-10-10 United Microelectronics Corp. Method of cleaning post-etch residues on a copper line
JP7077505B2 (en) * 2017-03-24 2022-05-31 フジフイルム エレクトロニック マテリアルズ ユー.エス.エー., インコーポレイテッド Cleaning composition for removing residues on semiconductor substrates
CN107357143B (en) * 2017-07-25 2018-06-19 上海新阳半导体材料股份有限公司 A kind of cleaning agent, preparation method and application
EP3672944A4 (en) * 2017-08-22 2021-06-02 FUJIFILM Electronic Materials U.S.A, Inc. Cleaning compositions
CN111033697B (en) * 2017-08-31 2023-10-10 富士胶片株式会社 Treatment liquid, kit, and method for cleaning substrate
KR20200058428A (en) 2017-10-10 2020-05-27 미쯔비시 케미컬 주식회사 Cleaning solution, cleaning method and manufacturing method of semiconductor wafer
US10889757B2 (en) * 2017-10-19 2021-01-12 Fujifilm Electronic Materials U.S.A., Inc. Etching compositions
US11300878B2 (en) 2017-11-13 2022-04-12 Taiwan Semiconductor Manufacturing Co., Ltd. Photoresist developer and method of developing photoresist
US20200339523A1 (en) * 2017-12-08 2020-10-29 Basf Se Composition and process for selectively etching a layer comprising an aluminium compound in the presence of layers of low-k materials, copper and/or cobalt
IL274877B2 (en) 2017-12-08 2024-03-01 Basf Se Cleaning composition for post-etch or post ash residue removal from a semiconductor substrate and corresponding manufacturing process
TWI748145B (en) 2017-12-18 2021-12-01 美商恩特葛瑞斯股份有限公司 Chemical resistant multi-layer coatings applied by atomic layer deposition
US20190226094A1 (en) * 2018-01-19 2019-07-25 Baker Hughes, A Ge Company, Llc Phosphorous-free, and iron activating agent-free rust removal, inhibition, and passivation
US10934484B2 (en) * 2018-03-09 2021-03-02 Versum Materials Us, Llc Etching solution for selectively removing silicon-germanium alloy from a silicon-germanium/ germanium stack during manufacture of a semiconductor device
JP7311229B2 (en) 2018-03-28 2023-07-19 フジフイルム エレクトロニック マテリアルズ ユー.エス.エー., インコーポレイテッド cleaning composition
US11629315B2 (en) 2018-04-27 2023-04-18 Mitsubishi Gas Chemical Company, Inc. Aqueous composition and cleaning method using same
KR20210003740A (en) 2018-04-27 2021-01-12 미쯔비시 가스 케미칼 컴파니, 인코포레이티드 Aqueous composition and cleaning method using the same
JPWO2019208685A1 (en) * 2018-04-27 2021-05-27 三菱瓦斯化学株式会社 Aqueous composition and cleaning method using it
US11149235B2 (en) * 2018-07-20 2021-10-19 Entegris, Inc. Cleaning composition with corrosion inhibitor
US11091727B2 (en) * 2018-07-24 2021-08-17 Versum Materials Us, Llc Post etch residue cleaning compositions and methods of using the same
IL268216B2 (en) * 2018-07-24 2023-02-01 Versum Mat Us Llc Post etch residue cleaning compositions and methods of using the same
US11124746B2 (en) 2018-11-08 2021-09-21 Entegris, Inc. Post CMP cleaning composition
US11091694B2 (en) * 2018-11-14 2021-08-17 Samsung Display Co., Ltd. Etching composition, method for forming pattern and method for manufacturing a display device using the same
US11180697B2 (en) * 2018-11-19 2021-11-23 Versum Materials Us, Llc Etching solution having silicon oxide corrosion inhibitor and method of using the same
SG11202111643QA (en) 2019-04-24 2021-11-29 Fujifilm Electronic Materials U S A Inc Stripping compositions for removing photoresists from semiconductor substrates
WO2020234395A1 (en) 2019-05-23 2020-11-26 Basf Se Composition and process for selectively etching a hard mask and/or an etch-stop layer in the presence of layers of low-k materials, copper, cobalt and/or tungsten
US11694896B2 (en) 2019-10-31 2023-07-04 Taiwan Semiconductor Manufacturing Co., Ltd. Photoresist developer and method of developing photoresist
CN113130292A (en) * 2019-12-31 2021-07-16 安集微电子科技(上海)股份有限公司 Plasma etching residue cleaning solution
CN113430065A (en) * 2020-03-23 2021-09-24 上海新阳半导体材料股份有限公司 Anti-reflection coating cleaning and post-etching residue removing composition, preparation method and application
KR20220012521A (en) 2020-07-23 2022-02-04 주식회사 케이씨텍 Cleaning solution composition and cleaning method using the same
TW202208607A (en) * 2020-08-27 2022-03-01 美商富士軟片電子材料美國股份有限公司 Cleaning compositions
US11605544B2 (en) 2020-09-18 2023-03-14 Applied Materials, Inc. Methods and systems for cleaning high aspect ratio structures
CN112941516A (en) * 2020-12-29 2021-06-11 苏州运宏电子有限公司 Precise control type etching solution and etching method thereof
US20220208553A1 (en) * 2020-12-29 2022-06-30 Entegris, Inc. Selective removal of metal oxide hard masks
CN117295811A (en) * 2021-04-16 2023-12-26 恩特格里斯公司 cleaning composition
CN113150884B (en) * 2021-04-27 2022-12-30 上海新阳半导体材料股份有限公司 Preparation method of fluorine-containing cleaning liquid composition
CN113186539B (en) * 2021-04-27 2022-12-06 上海新阳半导体材料股份有限公司 Post-chemical mechanical polishing cleaning solution and preparation method thereof
CN113860914B (en) * 2021-09-16 2023-06-27 湖北文理学院 Soaking liquid for removing white cobblestone yellow spots, preparation method thereof and method for removing white cobblestone yellow spots
WO2024004980A1 (en) * 2022-07-01 2024-01-04 三菱瓦斯化学株式会社 Semiconductor substrate cleaning composition, method for cleaning semiconductor substrates, and method for producing semiconductor substrates
CN115725369B (en) * 2022-11-03 2024-03-08 上海新阳半导体材料股份有限公司 Application of cleaning fluid composition

Family Cites Families (70)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5279771A (en) 1990-11-05 1994-01-18 Ekc Technology, Inc. Stripping compositions comprising hydroxylamine and alkanolamine
JP3160344B2 (en) 1991-01-25 2001-04-25 アシュランド インコーポレーテッド Organic stripping composition
US5320709A (en) 1993-02-24 1994-06-14 Advanced Chemical Systems International Incorporated Method for selective removal of organometallic and organosilicon residues and damaged oxides using anhydrous ammonium fluoride solution
US5421906A (en) 1993-04-05 1995-06-06 Enclean Environmental Services Group, Inc. Methods for removal of contaminants from surfaces
US6326130B1 (en) 1993-10-07 2001-12-04 Mallinckrodt Baker, Inc. Photoresist strippers containing reducing agents to reduce metal corrosion
US5571447A (en) 1995-03-20 1996-11-05 Ashland Inc. Stripping and cleaning composition
US7534752B2 (en) 1996-07-03 2009-05-19 Advanced Technology Materials, Inc. Post plasma ashing wafer cleaning formulation
US5698503A (en) 1996-11-08 1997-12-16 Ashland Inc. Stripping and cleaning composition
US6896826B2 (en) 1997-01-09 2005-05-24 Advanced Technology Materials, Inc. Aqueous cleaning composition containing copper-specific corrosion inhibitor for cleaning inorganic residues on semiconductor substrate
US6755989B2 (en) 1997-01-09 2004-06-29 Advanced Technology Materials, Inc. Aqueous cleaning composition containing copper-specific corrosion inhibitor for cleaning inorganic residues on semiconductor substrate
US6224785B1 (en) 1997-08-29 2001-05-01 Advanced Technology Materials, Inc. Aqueous ammonium fluoride and amine containing compositions for cleaning inorganic residues on semiconductor substrates
US6280651B1 (en) 1998-12-16 2001-08-28 Advanced Technology Materials, Inc. Selective silicon oxide etchant formulation including fluoride salt, chelating agent, and glycol solvent
US6211126B1 (en) 1997-12-23 2001-04-03 Advanced Technology Materials, Inc. Formulations including a 1, 3-dicarbonyl compound chelating agent for stripping residues from semiconductor substrates
EP1125168A1 (en) 1998-05-18 2001-08-22 Advanced Technology Materials, Inc. Stripping compositions for semiconductor substrates
US6875733B1 (en) 1998-10-14 2005-04-05 Advanced Technology Materials, Inc. Ammonium borate containing compositions for stripping residues from semiconductor substrates
US6344432B1 (en) 1999-08-20 2002-02-05 Advanced Technology Materials, Inc. Formulations including a 1,3-dicarbonyl compound chelating agent and copper corrosion inhibiting agents for stripping residues from semiconductor substrates containing copper structures
KR100400030B1 (en) 2000-06-05 2003-09-29 삼성전자주식회사 Slurry for chemical mechanical polishing metal layer, method of preparing the same, and method of metallization for semiconductor device using the same
US6599370B2 (en) 2000-10-16 2003-07-29 Mallinckrodt Inc. Stabilized alkaline compositions for cleaning microelectronic substrates
US6566315B2 (en) 2000-12-08 2003-05-20 Advanced Technology Materials, Inc. Formulations including a 1,3-dicarbonyl compound chelating agent and copper corrosion inhibiting agents for stripping residues from semiconductor substrates containing copper structures
MY143399A (en) 2001-07-09 2011-05-13 Avantor Performance Mat Inc Microelectronic cleaning compositons containing ammonia-free fluoride salts for selective photoresist stripping and plasma ash residue cleaning
US6773873B2 (en) * 2002-03-25 2004-08-10 Advanced Technology Materials, Inc. pH buffered compositions useful for cleaning residue from semiconductor substrates
US6849200B2 (en) 2002-07-23 2005-02-01 Advanced Technology Materials, Inc. Composition and process for wet stripping removal of sacrificial anti-reflective material
US8236485B2 (en) 2002-12-20 2012-08-07 Advanced Technology Materials, Inc. Photoresist removal
US7399365B2 (en) 2003-04-18 2008-07-15 Ekc Technology, Inc. Aqueous fluoride compositions for cleaning semiconductor devices
JP2005097715A (en) 2003-08-19 2005-04-14 Mitsubishi Chemicals Corp Etching solution for titanium-containing layer and method for etching titanium-containing layer
JP4522408B2 (en) * 2003-08-19 2010-08-11 マリンクロッド・ベイカー・インコーポレイテッド Stripping and cleaning compositions for microelectronics
CA2544198C (en) * 2003-10-29 2011-07-26 Mallinckrodt Baker, Inc. Alkaline, post plasma etch/ash residue removers and photoresist stripping compositions containing metal-halide corrosion inhibitors
JP2007519942A (en) 2003-12-02 2007-07-19 アドバンスド テクノロジー マテリアルズ,インコーポレイテッド Chemicals and methods for stripping resist, BARC, and gap fill materials
KR100795364B1 (en) * 2004-02-10 2008-01-17 삼성전자주식회사 Composition for cleaning a semiconductor substrate, method of cleaning and method for manufacturing a conductive structure using the same
US8338087B2 (en) 2004-03-03 2012-12-25 Advanced Technology Materials, Inc Composition and process for post-etch removal of photoresist and/or sacrificial anti-reflective material deposited on a substrate
JP4456424B2 (en) * 2004-06-29 2010-04-28 関東化学株式会社 Photoresist residue and polymer residue removal composition
US20060063687A1 (en) 2004-09-17 2006-03-23 Minsek David W Composition and process for ashless removal of post-etch photoresist and/or bottom anti-reflective material on a substrate
US20060148666A1 (en) 2004-12-30 2006-07-06 Advanced Technology Materials Inc. Aqueous cleaner with low metal etch rate
US20060154186A1 (en) 2005-01-07 2006-07-13 Advanced Technology Materials, Inc. Composition useful for removal of post-etch photoresist and bottom anti-reflection coatings
WO2006093770A1 (en) 2005-02-25 2006-09-08 Ekc Technology, Inc. Method to remove resist, etch residue, and copper oxide from substrates having copper and low-k dielectric material
WO2006110645A2 (en) 2005-04-11 2006-10-19 Advanced Technology Materials, Inc. Fluoride liquid cleaners with polar and non-polar solvent mixtures for cleaning low-k-containing microelectronic devices
WO2006113222A2 (en) 2005-04-15 2006-10-26 Advanced Technology Materials, Inc. Removal of high-dose ion-implanted photoresist using self-assembled monolayers in solvent systems
US20070251551A1 (en) 2005-04-15 2007-11-01 Korzenski Michael B Removal of high-dose ion-implanted photoresist using self-assembled monolayers in solvent systems
CN102981377B (en) 2005-06-07 2014-11-12 高级技术材料公司 Metal and dielectric compatible sacrificial anti-reflective coating cleaning and removal composition
TW200709294A (en) 2005-06-13 2007-03-01 Advanced Tech Materials Compositions and methods for selective removal of metal or metal alloy after metal silicide formation
WO2007027522A2 (en) 2005-08-29 2007-03-08 Advanced Technology Materials, Inc. Composition and method for removing thick film photoresist
US7922824B2 (en) 2005-10-05 2011-04-12 Advanced Technology Materials, Inc. Oxidizing aqueous cleaner for the removal of post-etch residues
CN101496146A (en) 2005-10-05 2009-07-29 高级技术材料公司 Composition and method for selectively etching gate spacer oxide material
EP1945748A4 (en) 2005-10-13 2009-01-07 Advanced Tech Materials Metals compatible photoresist and/or sacrificial antireflective coating removal composition
WO2007120259A2 (en) 2005-11-08 2007-10-25 Advanced Technology Materials, Inc. Formulations for removing copper-containing post-etch residue from microelectronic devices
CN101356629B (en) 2005-11-09 2012-06-06 高级技术材料公司 Composition and method for recycling semiconductor wafers having low-K dielectric materials thereon
TW200734448A (en) 2006-02-03 2007-09-16 Advanced Tech Materials Low pH post-CMP residue removal composition and method of use
US7947637B2 (en) * 2006-06-30 2011-05-24 Fujifilm Electronic Materials, U.S.A., Inc. Cleaning formulation for removing residues on surfaces
US20080076688A1 (en) * 2006-09-21 2008-03-27 Barnes Jeffrey A Copper passivating post-chemical mechanical polishing cleaning composition and method of use
US20080125342A1 (en) 2006-11-07 2008-05-29 Advanced Technology Materials, Inc. Formulations for cleaning memory device structures
TWI509690B (en) 2006-12-21 2015-11-21 Entegris Inc Compositions and methods for the selective removal of silicon nitride
US20100163788A1 (en) 2006-12-21 2010-07-01 Advanced Technology Materials, Inc. Liquid cleaner for the removal of post-etch residues
US20100081595A1 (en) * 2007-01-22 2010-04-01 Freescale Semiconductor, Inc Liquid cleaning composition and method for cleaning semiconductor devices
TWI516573B (en) 2007-02-06 2016-01-11 安堤格里斯公司 Composition and process for the selective removal of tisin
US20100112728A1 (en) 2007-03-31 2010-05-06 Advanced Technology Materials, Inc. Methods for stripping material for wafer reclamation
TW200918664A (en) 2007-06-13 2009-05-01 Advanced Tech Materials Wafer reclamation compositions and methods
WO2009013987A1 (en) * 2007-07-26 2009-01-29 Mitsubishi Gas Chemical Company, Inc. Composition for cleaning and rust prevention and process for producing semiconductor element or display element
WO2009032460A1 (en) * 2007-08-02 2009-03-12 Advanced Technology Materials, Inc. Non-fluoride containing composition for the removal of residue from a microelectronic device
SG183744A1 (en) 2007-08-20 2012-09-27 Advanced Tech Materials Composition and method for removing ion-implanted photoresist
TW200934865A (en) 2007-11-30 2009-08-16 Advanced Tech Materials Formulations for cleaning memory device structures
KR20100123757A (en) 2008-03-07 2010-11-24 어드밴스드 테크놀러지 머티리얼즈, 인코포레이티드 Non-selective oxide etch wet clean composition and method of use
JP2011520142A (en) 2008-05-01 2011-07-14 アドバンスド テクノロジー マテリアルズ,インコーポレイテッド Low pH mixture for removal of high density implanted resist
CN101597548A (en) * 2008-06-06 2009-12-09 安集微电子科技(上海)有限公司 A kind of plasma etching residual washing liquid
CN102216854A (en) 2008-08-04 2011-10-12 高级技术材料公司 Environmentally friendly polymer stripping compositions
CN101685273B (en) * 2008-09-26 2014-06-04 安集微电子(上海)有限公司 Cleanout fluid for removing photoresist layer residue
KR101752684B1 (en) * 2008-10-21 2017-07-04 엔테그리스, 아이엔씨. Copper cleaning and protection formulations
EP2391700A4 (en) 2009-01-28 2016-08-31 Entegris Inc Lithographic tool in situ clean formulations
WO2010086745A1 (en) 2009-02-02 2010-08-05 Atmi Taiwan Co., Ltd. Method of etching lanthanum-containing oxide layers
WO2010091045A2 (en) 2009-02-05 2010-08-12 Advanced Technology Materials, Inc. Non-fluoride containing composition for the removal of polymers and other organic material from a surface
KR102064487B1 (en) 2011-01-13 2020-01-10 엔테그리스, 아이엔씨. Formulations for the removal of particles generated by cerium-containing solutions

Also Published As

Publication number Publication date
TWI548738B (en) 2016-09-11
EP2593964A4 (en) 2017-12-06
WO2012009639A3 (en) 2012-04-26
EP2593964A2 (en) 2013-05-22
CN103003923A (en) 2013-03-27
WO2012009639A2 (en) 2012-01-19
SG187551A1 (en) 2013-03-28
US20150307818A1 (en) 2015-10-29
US20130296214A1 (en) 2013-11-07
JP2013533631A (en) 2013-08-22
KR20130088847A (en) 2013-08-08
US9063431B2 (en) 2015-06-23
TW201209156A (en) 2012-03-01
WO2012009639A9 (en) 2012-05-24

Similar Documents

Publication Publication Date Title
SG10201505535VA (en) Aqueous cleaner for the removal of post-etch residues
SG10201605687VA (en) Process for cleaning wafers
IL245191A0 (en) Cleaning formulations for removing residues on surfaces
AP2013006905A0 (en) Cleaning tool
EP2417892A4 (en) Robot cleaner
EP2417894A4 (en) Robot cleaner
EP2433541A4 (en) Robot cleaner
GB2484134B (en) Cleaning compositions
SG11201402550XA (en) Cleaning tool
SG11201400137WA (en) Composition for cleaning substrates post-chemical mechanical polishing
PL2414498T3 (en) Cleaning agent
SG2014014997A (en) Cleaning robot
PL2414499T3 (en) Cleaning agent
EP2705834A4 (en) Cleaning agent composition
EP2775892A4 (en) Universal connection for vacuum cleaner nozzle
PL2542327T3 (en) Method for cleaning filters
EP2650913A4 (en) Dry cleaning method
SG2014013791A (en) Cleaning robot
SG11201402577TA (en) Wet cleaning tool
GB2477598B (en) Cleaner having additional cleaning apparatus
GB201405476D0 (en) Process for the removal of contaminants
GB2496112B (en) Interior Surface Cleaning Device
GB201006032D0 (en) Hair removal design for vacuum cleaners
GB201115677D0 (en) Clean hand dirty hand
GB201119567D0 (en) Hand cleaner