JP2016526183A - ポリマー型熱酸発生剤を含む組成物及びそれの方法 - Google Patents

ポリマー型熱酸発生剤を含む組成物及びそれの方法 Download PDF

Info

Publication number
JP2016526183A
JP2016526183A JP2016513365A JP2016513365A JP2016526183A JP 2016526183 A JP2016526183 A JP 2016526183A JP 2016513365 A JP2016513365 A JP 2016513365A JP 2016513365 A JP2016513365 A JP 2016513365A JP 2016526183 A JP2016526183 A JP 2016526183A
Authority
JP
Japan
Prior art keywords
polymer
acrylate
photoresist pattern
methacrylate
substituted
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2016513365A
Other languages
English (en)
Other versions
JP6342993B2 (ja
JP2016526183A5 (ja
Inventor
ウー・ヘンペン
ホン・スンユン
カオ・イ
イン・ジャン
ポーネシュ・マーガレッタ
シヤガラジャン・ムティアー
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
AZ Electronic Materials Luxembourg SARL
Original Assignee
AZ Electronic Materials Luxembourg SARL
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by AZ Electronic Materials Luxembourg SARL filed Critical AZ Electronic Materials Luxembourg SARL
Publication of JP2016526183A publication Critical patent/JP2016526183A/ja
Publication of JP2016526183A5 publication Critical patent/JP2016526183A5/ja
Application granted granted Critical
Publication of JP6342993B2 publication Critical patent/JP6342993B2/ja
Expired - Fee Related legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/40Treatment after imagewise removal, e.g. baking
    • G03F7/405Treatment with inorganic or organometallic reagents after imagewise removal
    • CCHEMISTRY; METALLURGY
    • C08ORGANIC MACROMOLECULAR COMPOUNDS; THEIR PREPARATION OR CHEMICAL WORKING-UP; COMPOSITIONS BASED THEREON
    • C08FMACROMOLECULAR COMPOUNDS OBTAINED BY REACTIONS ONLY INVOLVING CARBON-TO-CARBON UNSATURATED BONDS
    • C08F220/00Copolymers of compounds having one or more unsaturated aliphatic radicals, each having only one carbon-to-carbon double bond, and only one being terminated by only one carboxyl radical or a salt, anhydride ester, amide, imide or nitrile thereof
    • C08F220/02Monocarboxylic acids having less than ten carbon atoms; Derivatives thereof
    • C08F220/10Esters
    • C08F220/34Esters containing nitrogen, e.g. N,N-dimethylaminoethyl (meth)acrylate
    • CCHEMISTRY; METALLURGY
    • C08ORGANIC MACROMOLECULAR COMPOUNDS; THEIR PREPARATION OR CHEMICAL WORKING-UP; COMPOSITIONS BASED THEREON
    • C08FMACROMOLECULAR COMPOUNDS OBTAINED BY REACTIONS ONLY INVOLVING CARBON-TO-CARBON UNSATURATED BONDS
    • C08F226/00Copolymers of compounds having one or more unsaturated aliphatic radicals, each having only one carbon-to-carbon double bond, and at least one being terminated by a single or double bond to nitrogen or by a heterocyclic ring containing nitrogen
    • C08F226/06Copolymers of compounds having one or more unsaturated aliphatic radicals, each having only one carbon-to-carbon double bond, and at least one being terminated by a single or double bond to nitrogen or by a heterocyclic ring containing nitrogen by a heterocyclic ring containing nitrogen
    • C08F226/10N-Vinyl-pyrrolidone
    • CCHEMISTRY; METALLURGY
    • C08ORGANIC MACROMOLECULAR COMPOUNDS; THEIR PREPARATION OR CHEMICAL WORKING-UP; COMPOSITIONS BASED THEREON
    • C08FMACROMOLECULAR COMPOUNDS OBTAINED BY REACTIONS ONLY INVOLVING CARBON-TO-CARBON UNSATURATED BONDS
    • C08F8/00Chemical modification by after-treatment
    • C08F8/44Preparation of metal salts or ammonium salts
    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09DCOATING COMPOSITIONS, e.g. PAINTS, VARNISHES OR LACQUERS; FILLING PASTES; CHEMICAL PAINT OR INK REMOVERS; INKS; CORRECTING FLUIDS; WOODSTAINS; PASTES OR SOLIDS FOR COLOURING OR PRINTING; USE OF MATERIALS THEREFOR
    • C09D133/00Coating compositions based on homopolymers or copolymers of compounds having one or more unsaturated aliphatic radicals, each having only one carbon-to-carbon double bond, and at least one being terminated by only one carboxyl radical, or of salts, anhydrides, esters, amides, imides, or nitriles thereof; Coating compositions based on derivatives of such polymers
    • C09D133/04Homopolymers or copolymers of esters
    • C09D133/14Homopolymers or copolymers of esters of esters containing halogen, nitrogen, sulfur or oxygen atoms in addition to the carboxy oxygen
    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09DCOATING COMPOSITIONS, e.g. PAINTS, VARNISHES OR LACQUERS; FILLING PASTES; CHEMICAL PAINT OR INK REMOVERS; INKS; CORRECTING FLUIDS; WOODSTAINS; PASTES OR SOLIDS FOR COLOURING OR PRINTING; USE OF MATERIALS THEREFOR
    • C09D139/00Coating compositions based on homopolymers or copolymers of compounds having one or more unsaturated aliphatic radicals, each having only one carbon-to-carbon double bond, and at least one being terminated by a single or double bond to nitrogen or by a heterocyclic ring containing nitrogen; Coating compositions based on derivatives of such polymers
    • C09D139/04Homopolymers or copolymers of monomers containing heterocyclic rings having nitrogen as ring member
    • C09D139/06Homopolymers or copolymers of N-vinyl-pyrrolidones
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/0035Multiple processes, e.g. applying a further resist layer on an already in a previously step, processed pattern or textured surface
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/40Treatment after imagewise removal, e.g. baking
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • CCHEMISTRY; METALLURGY
    • C08ORGANIC MACROMOLECULAR COMPOUNDS; THEIR PREPARATION OR CHEMICAL WORKING-UP; COMPOSITIONS BASED THEREON
    • C08LCOMPOSITIONS OF MACROMOLECULAR COMPOUNDS
    • C08L2201/00Properties
    • C08L2201/54Aqueous solutions or dispersions
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/0048Photosensitive materials characterised by the solvents or agents facilitating spreading, e.g. tensio-active agents
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/039Macromolecular compounds which are photodegradable, e.g. positive electron resists
    • G03F7/0392Macromolecular compounds which are photodegradable, e.g. positive electron resists the macromolecular compound being present in a chemically amplified positive photoresist composition

Abstract

本発明は、ポリマー型熱酸発生剤を含む新規の水性組成物に、及び該新規組成物をフォトレジストパターン上にコーティングして、フォトレジストパターン上にポリマー型熱酸発生剤の層を形成する方法に関する。該ポリマー型熱酸発生剤は、構造2の少なくとも一種の繰り返し単位を有するポリマーを含む。式中、R1〜R5は、独立して、H及びC1〜C6アルキルからなる群から選択され;R6は、置換されていないアリール、置換されたアリール、アルキル(C1〜C8)及びフルオロアルキル(C1〜C8)からなる群から選択され、そしてWはC2〜C6アルキレンスペーサーである。

Description

本発明は、ポリマー型熱酸発生剤を含む新規の水性組成物、及び該新規組成物をフォトレジストパターン上に、特に水性塩基中で現像可能なポジ型化学増幅レジスト上にコーティングして、フォトレジストパターン上にポリマー型熱酸発生剤の層を形成する方法に関する。本発明は、非常に微細なパターンを形成する方法にも関する。
半導体技術における集積回路の緻密化には、これらの集積回路内に非常に微細な配線を形成する必要性が伴ってきた。超微細パターンは、典型的には、フォトリソグラフィ技術を用いてフォトレジストコーティング中にパターンを形成することによって生み出される。
集積回路の微細化は、フォトレジスト内でのより一層細い寸法のプリントを必要とする。フォトレジストによってプリントするべき寸法を縮小するために様々な技術が開発されており、そのような技術の例は、マルチレベルコーティング、反射防止コーティング、位相マスク、より一層短い波長に感度を示すフォトレジストなどである。
より小さな寸法をプリントするための方法の一つは、特に、フォトレジストパターンの上面にポリマーの薄層を形成して、フォトレジストパターンを拡大し、隣接するフォトレジスト図形間のスペースの寸法を減少する技術に頼るものである。狭幅されたこのスペースは、基材のエッチング及び画定に使用できるか、または金属などの材料の堆積に使用することができる。このバイレベル技術は、新しいフォトレジストケミストリーを再調製する必要なく、微細電子デバイスの製造方法の一部としてかなりより小さな寸法を画定することを可能とする。上面コーティング層または縮小材料(shirink material)は、誘電材料などの無機層であってもよいし、またはこれは、架橋可能なポリマー材料などの有機材料であってもよい。
誘電性縮小材料はUS5,863,707(特許文献1)に記載されており、これは二酸化ケイ素、窒化ケイ素、酸窒化ケイ素、スピンオン材料または化学蒸着材料を含む。有機系ポリマー性コーティングは、US5,858,620(特許文献2)に記載されており、このコーティングは、酸の存在下に架橋反応を起し、それによってフォトレジスト表面に付着するが、上面縮小コーティングが架橋されていないところでは除去される。US5,858,620(特許文献2)は、半導体デバイスの製造方法を開示しており、その際、基材は、上面層でコーティングされたパターン化されたフォトレジストを有しており、次いでフォトレジストは露光され、そして加熱されて、フォトレジスト中の光の作用により発生した酸が上面層中を拡散して、そうして上面層を架橋することができる。上面コート中を酸が拡散する程度が、架橋された層の厚さを決定する。架橋されなかった部分の上面層は、ポリマーを溶解できる溶液を用いて除去される。更に、US7,745,007(特許文献3)は、微細電子デバイスの製造に使用される少なくとも一つのアルキルアミノ基を含有する水溶性ポリマーを含む水性縮小コーティング組成物を開示している。
この発明に有用なフォトレジストパターンは、UVリソグラフィ(450nm〜10nm)、液浸リソグラフィ、EUVまたはe−ビームなどの慣用のリソグラフィ技術により暴露されたポジ型化学増幅レジスト組成物に由来するものである。このようなフォトレジストコーティング組成物の一つは、塩基可溶性基をブロックする酸不安定性基を含有するポリマーと光酸発生剤(PAG)とを含む。ポジ型化学増幅フォトレジスト樹脂に結合できそして酸不安定性基でブロックできる塩基可溶性基の例は、カルボン酸部分(−C=O−OH)、フェノール性部分(例えば、ノボラック樹脂及びヒドロキシスチレンコポリマー中のこのような部分)、フッ素化アルコール部分、例えば−C(C(ROH(RはC〜Cフルオロアルキル基である)である。
フォトレジスト組成物は、コンピュータチップ及び集積回路の製造など、微細化された電子部品の製造のためのマイクロリソグラフィプロセスに使用されている。これらのプロセスでは、一般的に、先ずフォトレジスト組成物のフィルムを、集積回路の製造に使用されるケイ素ウェハなどの基材に施す。次いで、コーティングされた基材をベークして、フォトレジスト組成物中の溶媒を蒸発させ、基材上にコーティングを定着させる。基材のコーティング及びベークされた表面は次に放射線に像様暴露される。
この放射線暴露は、コーティングされた表面の暴露された領域において化学的な変化を引き起こす。可視光線、紫外線(UV)、電子ビーム及びX線放射エネルギーが、現在マイクロリソグラフィプロセスにおいて常用されている放射線種である。この像様暴露の後、コーティングされた基材を現像剤溶液で処理して、基材のコーティングされた表面の放射線暴露された領域または未暴露の領域のいずれかを溶解、除去する。フォトレジストはポジ作動式またはネガ作動式であることができる。
ブロックコポリマーの誘導自己組織化は、ナノスケールオーダーの図形の微小寸法(CD)を達成できる微細電子デバイスの製造のためにより一層小さなパターン化された図形を生成するための有用な方法である。ブロックコポリマー画像形成を用いたグラフォエピタキシ及びケモエピタキシなどの誘導組織化技術は、CD変動を減少しながら解像度を向上するために使用される非常に望ましい技術である。これらの技術は、慣用のUVリソグラフィ技術を増強するか、あるいはEUV、e−ビーム、ディープUVまたは液浸リソグラフィを使用する方策において更により高い解像度及びCDコントロールを可能とするために使用することができる。誘導自己組織化ブロックコポリマーは、エッチング耐性のコポリマー性単位のブロックと、エッチングされやすいコポリマー性単位のブロックを含み、このブロックコポリマーは、基材上でコーティング、整列及びエッチングされた時に、非常に高密度のパターンの領域を与える。該新規中性層組成物と共同して誘導自己組織化に使用されるブロックコポリマーは、自己組織化を介してドメインを形成できるものであれば任意のブロックコポリマーであることができる。
本発明は、ポリマー型熱酸発生剤を含む新規組成物に関し、またこのような組成物を用いて微細パターンを画定するための新規方法にも関する。
US5,863,707 US5,858,620 US7,745,007 US2009/0081589A1 US4,491,628 US5,350,660 US5,843,624 US6,866,984 US6,790,587 US6,849,377 US6,818,258 US6,916,590 米国特許出願第13/243,640号明細書
本発明は、ポリマー型熱酸発生剤を含む新規の水性組成物に、及び該新規組成物のコーティングをフォトレジストパターン上に形成して、それによってフォトレジストパターン上にポリマー型熱酸発生剤の層を形成する方法に関する。
該ポリマー型熱酸発生剤は、構造2の少なくとも一種の繰り返し単位を有するポリマーを含む。
Figure 2016526183
式中、R〜Rは、独立して、H及びC〜Cアルキルからなる群から選択され;Rは、置換されていないアリール、置換されたアリール、アルキル(C〜C)及びフルオロアルキル(C〜C)からなる群から選択され、そしてWはC〜Cアルキレンスペーサーである。一つの態様では、Wはメチレンまたはエチレンである。
図1は、ポリマー型熱酸発生剤を用いたフォトレジスト図形のキャッピングを示す。 図2は、ポリマー型熱酸発生剤を用いたフォトレジスト図形のトリミングを示す。 図3は、ポリマー型熱酸発生剤を用いたダブルパターン化プロセスを示す。 図4は、ポリマー型熱酸発生剤を用いた元のフォトレジスト図形のリソグラフィ画像反転を示す。
本発明は、ポリマー型熱酸発生剤を含む新規水性組成物に、及び微細パターンを画定するための新規方法に関する。本発明は、水性塩基中で現像可能なポジ型化学増幅フォトレジストなどのフォトレジストパターン上に該新規組成物をコーティングし、それによって、ポストアプライドベーク及び水性現像の後に、フォトレジストパターン上にポリマー型熱酸発生剤の層を形成する方法に関する。コーティングされたパターンは、パターン形成のための他の加工プロセスに使用してよい。
該ポリマー型熱酸発生剤は、ポリマー型アミンとスルホン酸との反応生成物である。ポリマー型アミンは、構造(1)の少なくとも一種の単位を含む。
Figure 2016526183
式中、R〜Rは、独立して、H及びC〜Cアルキルからなる群から選択される。ポリマー型熱酸発生剤は水中に溶解して水溶液を調製する。ポリマー型熱酸発生剤は、構造2の少なくとも一種の繰り返し単位を有するポリマーを含んでよい。
Figure 2016526183
式中、R〜Rは、独立して、H及びC〜Cアルキルからなる群から選択され;Rは、置換されていないアリール、置換されたアリール、アルキル(C〜C)及びフルオロアルキル(C〜C)からなる群から選択され、そしてWはC〜Cアルキレンスペーサーである。一つの態様では、Wはメチレンまたはエチレンである。
該新規ポリマー型熱酸発生剤は、更に、構造(3)の少なくとも一種のモノマー性単位を含んでよい。
Figure 2016526183
式中、R〜Rは、独立して、水素及びC〜Cアルキルから選択され、Aは、単結合、O、C(O)、(C=O)O及びC〜Cアルキルからなる群から選択され;X、Y、Z及びNは環状構造を形成し、更にここでXは、C〜Cアルキレン、不飽和C〜Cアルキレン、直接結合及びこれらの混合物から選択され、Yは、C〜Cアルキレン、不飽和C〜Cアルキレン、直接結合及びこれらの混合物から選択され、Zは、O、C(O)及びNから選択され、そしてNは窒素である。
構造(3)のモノマー性単位のより具体的な例は次のものであることができる。
Figure 2016526183
式中、R〜Rは、独立して、水素及びC〜Cアルキルから選択され、Aは、単結合、O、C(O)、(C=O)O及びC〜Cアルキルから選択され;X、Y、Z及びNは環状構造を形成し、更にここでXは、C〜Cアルキレン、不飽和C〜Cアルキレン、直接結合及びこれらの混合物から選択され、Yは、C〜Cアルキレン、不飽和C〜Cアルキレン、直接結合及びこれらの混合物から選択され、Zは、O、C(O)及びNから選択され、そしてNは窒素である。更により具体的には、構造(3)のモノマー性単位は次から選択することができる。
Figure 2016526183
該新規ポリマー型熱酸発生剤は、水を含むかまたは水と水混和性溶媒とを含む溶媒中に溶解してよい。適当な水混和性溶媒の非限定的な例は次の通りである:(C〜C)アルコール、ジオール、トリオール、ケトン、エステル、ラクテート、アミド、エチレングリコールモノアルキルエーテル、エチレングリコールモノアルキルエーテルアセテート、N−メチルピロリドン、プロピレングリコールモノメチルエーテル、プロピレングリコールモノエチルエーテル、プロピレングリコールモノメチルエーテルアセテート、及びプロピレングリコールモノエチルエーテルアセテート。該新規組成物は、追加的に、界面活性剤、C〜Cアルコールまたは架橋性化合物などの添加剤を含んでよい。該ポリマー型熱酸発生剤の固形物含有率は0.5〜20重量%の範囲であってよい。一つの態様では、該新規組成物は架橋剤を含まない。該新規組成物は、更に、界面活性剤、架橋性化合物などの添加剤を含んでよい。
該新規ポリマー型熱酸発生剤は、遊離のアミノ基を含有する単位1を含むポリマーの水溶液とスルホン酸との混合物を供するのではなく(すなわちポリマーの単離を行わない)、むしろ該新規ポリマーを乾燥形態で単離することによって調製してよい。アセトニトリルなどの有機溶媒中に溶解した繰り返し単位(1)を含有するポリマーにスルホン酸を添加した後、このポリマーは、ジエチルエーテルなどの有機溶媒中で析出させることによって単離することができる。アミノ繰り返し単位(1)を含有する出発コポリマー及びそのコポリマーは、アセトニトリル中で(アセトニトリルの代わりに、イソプロピルアルコール(IPA)、2−ブタノン及びメタノールも使用することができる)、AIBNなどのラジカル開始剤を用いた慣用のラジカル重合によって、タイプ(1)及びタイプ(3)の繰り返し単位が誘導されるアルケンモノマーを用いて製造することができる。
典型的には、このような重合は、開始剤の種類に依存して、60〜90℃の温度で実行される。タイプ(1)の繰り返し単位を含有する中間ポリマーの単離は、ジエチルエーテルの様な溶媒中での析出、中間ゴムの単離、メタノール中への溶解、及び再びエーテル中での析出によって為し得る。
Figure 2016526183
該新規ポリマーの分子量は、5,000〜200,000g/モルの範囲であってよい。
該新規ポリマー型熱酸発生剤組成物は、水性塩基中で現像可能なポジ型化学増幅フォトレジストなどのフォトレジストパターン上にコーティングし、100℃〜180℃でポストアプライドベーク(PAB)し、次いで水性除去液を用いて現像して未反応のポリマー型熱酸発生剤を除去し、それによってフォトレジストパターン上にコーティング層を形成してよい。PABの間、ポリマー型酸発生剤は活性化され、拡散して、フォトレジストを解保護化する。フォトレジスト層中での解保護化深さはPABによって制御される。水性除去液は、水を含むか、または次からなる群から選択される水可溶性溶媒と混合した水を含んでよい:(C〜C)アルコール、ジオール、トリオール、ケトン、エステル、ラクテート、アミド、エチレングリコールモノアルキルエーテル、エチレングリコールモノアルキルエーテルアセテート、N−メチルピロリドン、プロピレングリコールモノメチルエーテル、プロピレングリコールモノエチルエーテル、プロピレングリコールモノメチルエーテルアセテート、及びプロピレングリコールモノエチルエーテルアセテート。追加的に、水性除去液は、更に、界面活性剤、C〜Cアルコールから選択された添加剤を含んでよい。
図1は、該新規ポリマーを含むコーティングの形成スキームを示している。図1では、(1)はフォトレジストパターンを指し、(2)は、パターンを覆う該新規組成物を指し、そして(3)は該新規コーティング層である。
該新規組成物を使用するための一つの態様では、元のフォトレジストパターンをトリミングできる方法が使用される(図2)。トリミングプロセスに使用される場合、本発明は、第一のフォトレジストパターンを用いて使用することができ、この際、このフォトレジストは、UVリソグラフィ(450nm〜10nm)、液浸リソグラフィ、EUVまたはe−ビームなどの慣用のリソグラフィ技術によって画像形成されたポジ型化学増幅フォトレジストであってよい。先ず、ポリマーの塩基可溶性部分を保護する酸不安定性基を持つポリマーと光酸発生剤とを含むフォトレジストを用いて、フォトリソグラフィなどの慣用のリソグラフィ技術によりフォトレジストパターンを形成する。次いで、該新規ポリマー型熱酸発生剤組成物をフォトレジストパターン上にコーティングし、そしてコーティングされたパターンをPABに付して、該新規熱酸発生剤を活性化する。この活性化された酸発生剤は次いで、下にあるフォトレジストパターンの薄層中に拡散しそしてこの薄層を解保護化する。テトラメチルアンモニウムヒドロキシド(TMAH)などの水性アルカリ現像剤を用いてパターンを現像した後、より小さな寸法を有するパターンが得られる。このトリミングプロセスは、ポジ型化学増幅レジスト中に画定されたラインアンドスペース(L/S)のタイプの図形に特に適している。
該新規組成物は、図3に示す様にダブルパターン化プロセスのためにも使用できる。本発明は、例えば図3に示されるようにダブルパターン化プロセスに使用される時、UVリソグラフィ(450nm〜10nm)、液浸リソグラフィ、EUVまたはe−ビームなどの慣用のリソグラフィ技術で作製された目的図形の解像度またはCD均一性の更なる改善をもたらす。
このダブルパターン化プロセスでは、化学増幅フォトレジストなどの第一のフォトレジストパターンを、フォトリソグラフィなどの慣用のリソグラフィ技術を用いて生成する。次いで、該新規ポリマー型熱酸発生剤組成物を、このフォトレジストパターン上にコーティングし、これを次いでPABに付す。このPABは該新規熱酸発生剤を活性化し、この熱酸発生剤は、下にあるフォトレジストパターンの薄層中に拡散し、そしてこの薄層を解保護化する。パターンを水で現像してパターン上にコーティングを残した後、水性塩基可溶性基を保護する酸不安定性基を含有するポリマーを含む第二のコーティング組成物を、フォトレジストパターンの上面が覆われることなく、元のパターンのトレンチのみが充填されるように、注意深くオーバーコート及び加工する。この第二のコーティングは、第一のフォトレジストパターンを溶解しない適当なスピンキャスト溶媒(複数種可)を含む。適当なスピンキャスト溶媒は、C〜Cアルキルアセテート、C〜C12アルカン、C〜Cアルコール、及び混和可能なこれらの混合物からなる群から選択してよい。次のPABは、コーティングされたフォトレジストパターンと第二のコーティングとの間に境界面を生じさせ、ここで、第二のコーティング材料の薄層が、第一のフォトレジストパターンの表面からの拡散した熱酸発生剤によって解保護化される。第一のフォトレジストパターンと第二のコーティングとの境界面におけるこれら両者からの脱保護化された材料は、次いで、水性塩基現像剤を用いて除去され、その結果、ダブルパターン化となる(図3)。第二コーティングが元のラインの上面を覆っている場合は、乾式エッチバックを適用し得るか、またはこの層を除去するために化学機械的研磨を使用することができる。任意選択的に、元々のラインの上面を覆っているこの層は湿式エッチを用いることによって除去することができ、この湿式エッチは、上記のポリマー型熱酸発生剤水性組成物の他の層をオーバーコートし、ベークし、そして活性化したポリマー型熱酸発生剤とPABの間に反応した元のラインの上面を覆う層を現像除去するだけで実現できる。
上記のダブルパターン化の一変形として、第二のコーティング組成物を、酸不安性基を持たずかつ水性塩基中に不溶性のポリマーに置き換えてもよい。但し、第二のコーティング組成物ポリマーが、上述ように第一のフォトレジストパターンを溶解しないスピンキャスト溶媒中に可溶であることが条件である。この場合、ダブルパターン化は、第一フォトレジストパターン表面から、解保護化された薄層を除去するだけで実現できる。
上記のダブルパターン化プロセスの更に別の一変形では、第二のコーティング組成物は、テトラメチルアンモニウムヒドロキシド(TMAH)などの水性アルカリ現像剤中での溶解速度が制御されたポリマーに置き換えてもよい。このような方策は、パターンを覆う第二のコーティング組成物材料のより簡単な除去を可能とし、このステップを達成するためのプラズマまたは化学機械的研磨の必要性を省く。この場合も、第二のコーティング溶媒は、上述のようにキャップされたフォトレジストパターンを破壊しない溶媒中に溶解されるものでなければならない。この場合、ダブルパターン化は、第一のフォトレジストパターンの周りの解保護化された薄層(ポリマー型PAGの拡散の故)と、この層に隣接する第二材料の部分(この材料の固有の水性塩基可溶性の故)との両方を除去することによって実現される。水性塩基現像剤中での溶解速度が制御されたポリマーの例は、ジアゾナフトキノンベースのポジトーン365nm及び436nmフォトレジストで使用されるようなノボラック樹脂である。
該新規ポリマー型熱酸発生剤組成物は、図4に示すようなリソグラフィ画像反転(LIR)を達成するためにも使用できる。この方策では、先ず、塩基可溶性部分を保護する酸不安定性基を有するポリマーと光酸発生剤とを含むポジ型化学増幅フォトレジスト材料を用いたフォトリソグラフィなどの慣用のリソグラフィ技術を用いてフォトレジストパターンを生成する。次いで、該新規ポリマー型熱酸発生剤水性組成物をフォトレジストパターン上にコーティングし、次いでPABして該新規熱酸発生剤を活性化する。PAB温度と時間は、活性化された酸発生剤がポリマー型熱酸発生剤でコーティングされたフォトレジストパターン中に拡散しそしてそのパターンの酸不安定性基を解保護化するように、それぞれ十分に高く(例えば130℃〜180℃)及び十分に長く(例えば30〜120秒)なるように選択される。
水で現像して余分の熱酸発生剤コーティングを除去した後、パターンの上面上に第二の材料を残すことなくトレンチのみが充填されるようなプロセスで第二の材料をオーバーコートする。この第二の材料は、解保護化された酸不安定性基を持つ下にあるパターンを破壊しないスピンキャスト溶媒を含む。適当なスピンキャスト溶媒(複数種可)は、C〜Cアルキルアセテート、C〜C12アルカン、C〜Cアルコール、及び混和可能なこれらの混合物からなる群から選択してよい。TMAHなどの水性アルカリ現像剤で現像した後、元のフォトレジストパターンは現像除去されて、第二のコーティング材料によって形成されたパターンを後に残す。こうして、元のラインはトレンチになり、他方で元のトレンチはラインとなる。この場合、第二の材料は、水性塩基現像剤中には不溶性であるが、上記の適当なスピンキャスト溶媒中には可溶性の任意のポリマーであってよい。第二の材料が元のラインの上面を覆っている場合は、水性塩基現像の前にこの層を除去するために、乾式エッチバックを適用し得るかまたは化学機械的研磨を使用することができる。第二の材料は、酸不安定性基を含むポリマーであってもよい。第二の材料が酸不安定性基を含むポリマーでありかつ元のフォトレジストラインがこの材料で覆われている場合には、ラインの上面上のこの材料を除去するために湿式エッチを使用することができる。このような湿式エッチバックの一つは、該新規ポリマー型熱酸発生剤組成物の他の層をオーバーコートし、そしてPAB及び水性塩基現像を適用するだけで為し得る。
本発明は、少なくとも一種の水溶性ポリマー結合型熱酸発生剤を含む、フォトレジスト図形のためのコーティング組成物に関する。二種以上のポリマー結合型熱酸発生剤または二種以上の熱酸発生剤を含有するポリマーを、該新規組成物に使用してよい。
第二のコーティング組成物が酸不安定性基を含有するポリマーであるプロセスでは、ポジ型化学増幅フォトレジストに使用される任意のポリマーを使用し得る。一例として、このような組成物及びポリマーは、US2009/0081589A1(特許文献4)に記載されている。酸不安定性基マスキング及び酸不安定性部分を含むポリマー(PAL)は次から選択してよい:
(1)(i)置換されたもしくは置換されていないヒドロキシスチレンと、アクリレート、メタクリレート、またはアクリレートとメタクリレートとの混合物とを含むポリマー(前記アクリレート及び/またはメタクリレートは、脱ブロック化に高い活性化エネルギーを必要とする酸不安定性基で保護されている)と、(ii)ビニルエーテル及び置換されていないかもしくは置換された複素脂肪環式類から選択された化合物との間で、触媒の不在下に形成された反応生成物;
(2)(i)ノボラックポリマー、(ii)2〜7個のフェノール基を有するポリヒドロキシ化合物、及び(iii)ビニルエーテル及び置換されていないかもしくは置換された複素脂肪環式類から選択された化合物の間で、触媒の不在下に形成された反応生成物;
(3)(i)ノボラックポリマー、(ii)置換されたもしくは置換されていないヒドロキシスチレンと、アクリレート、メタクリレート、またはアクリレートとメタクリレートとの混合物とを含むポリマー(前記アクリレート及び/またはメタクリレートは、脱ブロック化に高い活性化エネルギーを必要とする酸不安定性基で保護されている)、及び(iii)ビニルエーテル及び置換されていないかもしくは置換された複素脂肪環式類から選択された化合物の間で、触媒の不在下に形成された反応生成物;
(4)(i)(a)ノボラックポリマー、(b)2〜7個のフェノール基を有するポリヒドロキシ化合物、及び(c)ビニルエーテル及び置換されていないもしくは置換された複素脂肪環式類から選択された化合物の間で触媒の不在下に形成された反応生成物と、(ii)置換されたもしくは置換されていないヒドロキシスチレンと、アクリレート、メタクリレート、またはアクリレートとメタクリレートとの混合物とを含むポリマー(前記アクリレート及び/またはメタクリレートは、脱ブロック化に高い活性化エネルギーを必要とする酸不安定性基で保護されている)との混合物;及び
(5)(i)(a)ノボラックポリマー、(b)2〜7個のフェノール基を有するポリヒドロキシ化合物、及び(c)ビニルエーテル及び置換されていないもしくは置換された複素脂肪環式類から選択された化合物の間で触媒の不在下に形成された反応生成物、(ii)置換されたもしくは置換されていないヒドロキシスチレンと、アクリレート、メタクリレート、またはアクリレートとメタクリレートとの混合物とを含むポリマー(前記アクリレート及び/またはメタクリレートは、脱ブロック化に高い活性化エネルギーを必要とする酸不安定性基で保護されている)、及び(iii)ノボラックとの混合物。
ポリマー型熱酸発生剤を用いたコーティングプロセス及びPABに付された処理された第一フォトレジストパターン上にPAL組成物をコーティングするのに使用される適当なスピンキャスト溶媒は、この処理された第一のフォトレジストパターンを溶解してはならない。適当なスピンキャスト溶媒は、C〜Cアルキルアセテート、C〜C12アルカン、C〜Cアルコール、及び混和可能なこれらの混合物からなる群から選択してよい。適当な溶媒の具体的な例は、酢酸ブチル、酢酸プロピル、酢酸ペンチル、4−メチル−2−ペンタノール、ペンタノール、及びヘキサノールである。
上記の材料の他に、商業的に入手可能なポジ型化学増幅レジスト樹脂もPAL材料として使用してよい。これらは、i線、g線、248nm、193nm、157nm、EUVまたはe−ビームまたは他の強力なビーム暴露に設計されたものなどの初期レジストパターンを形成するための適当な選択肢として以下に挙げるものと同じ群から独立して選択してよい。
酸不安定性基を含有するポリマー(PAL)を含む第二のコーティングのフィルム厚またはその代わりにポジ型化学増幅フォトレジストのフィルム厚は50〜2000nmの範囲であることができる。
上述のように、水性塩基可溶性部分をマスクする酸不安定性基を含有し及び第一のレジストパターンを溶解しないスピンキャスト溶媒中に溶解されたポリマー(PAL)でコーティングされた、キャップされたフォトレジストパターンは、PABに付され、それによってキャップされたフォトレジストパターンとコーティング材料との反応が起こる。これを次いでTMAHなどの水性塩基現像剤で現像して、その結果ダブルパターン化が得られる。
該ポリマー型熱酸発生剤組成物を用いることによって上述のように生成されたダブルパターン化図形は、同じポリマー型熱酸発生剤組成物を用いた上述のトリミングプロセスによって更に向上することができる。
該ポリマー型熱酸発生剤組成物を用いることによって上述のように生成したダブルパターン化図形は、金属スパッタリング/リフトオフなどの他のプロセスで更に向上してよい。
第一のリソグラフィパターンを形成するために使用するポジ型化学増幅フォトレジストは、436nm、365nm、248nm、193nm、157nm、EUVまたはe−ビームまたは他の強力なビーム暴露に設計された任意のタイプのものであることができる。
具体的には、化学増幅フォトレジストからポジ型パターンを形成するためには、今までのところ、微細化に大きな進展をもたらした幾つかの主要なディープUV暴露技術があり、これらは、248nm、193nm、157nm及び13.5nmの放射線を使用する。248nm用のフォトレジストは、典型的には、置換されたポリヒドロキシスチレン及びそれのコポリマー/オニウム塩、例えばUS4,491,628(特許文献5)及びUS5,350,660(特許文献6)に記載のものなどをベースとしてきた。他方で、193nm及び157nm暴露用のフォトレジストは、芳香族類がこの波長で不透明なために非芳香族系のポリマーを必要とする。US5,843,624(特許文献7)及びUS6,866,984(特許文献8)は193nm暴露用に有用なフォトレジストを開示している。一般的に、脂環式炭化水素を含有するポリマーは、200nm未満の暴露用のフォトレジストに使用される。脂環式炭化水素は多くの理由からポリマーに組み入れられ、すなわち主には、これらがエッチング耐性を向上する比較的高い炭素:水素比を有し、また低波長で透明性を供し、及び比較的高いガラス転移温度を有するからである。US5,843,624(特許文献7)は、無水マレイン酸と不飽和環状モノマーとのフリーラジカル重合によって得られた、フォトレジスト用のポリマーを開示している。US6,447,980(特許文献9)及びUS6,723,488(特許文献10)に記載されているものなどの既知のタイプの193nmフォトレジストのいずれも使用でき、これらの特許文献の内容は本明細書中に掲載されたものとする。フルオロアルコール側基を有するフッ素化ポリマーをベースとし157nmに感度を示す二つの基本的な部類のポリマーが、この波長で実質的に透明であることが知られている。一つの部類の157nmフルオロアルコールフォトレジストは、フッ素化ノルボルネンなどの基を含有するポリマーから誘導され、金属触媒重合またはラジカル重合のいずれかを用いて単重合されるかまたは他の透明なモノマー、例えばテトラフルオロエチレンと共重合される(US6,790,587(特許文献9)及びUS6,849,377(特許文献10))。一般的に、これらの材料はより高い吸光性を与えるが、それらの高い脂環式類含有率の故に良好なプラズマエッチング耐性を持つ。より最近では、1,1,2,3,3−ペンタフルオロ−4−トリフルオロメチル−4−ヒドロキシ−1,6−ヘプタジエンなどの非対称ジエンの共重合(US6,818,258(特許文献11))またはフルオロジエンとオレフィンとの共重合(US6,916,590(特許文献12))から誘導された主鎖を有する別の部類の157nmフルオロアルコールポリマーが開示された。これらの材料は157nmで許容可能な吸光性を与えるが、上記のフルオロ−ノルボルネンポリマーと比べてそれらのより低い脂環式含有率の故に、プラズマエッチング耐性に劣る。これらの二つの部類のポリマーは、最初のポリマー種の高いエッチング耐性と後の方のポリマー種の157nmでの高い透明性との間でバランスを図るためにしばしばブレンドすることができる。13.5nmの極端紫外線(EUV)を吸収するフォトレジストも有用であり、当技術分野において既知であり、そしてこれらから生じたパターンも使用してよい。ポジ型化学増幅e−ビームリソグラフィレジストの暴露から得られるパターンも使用し得る。
ポジ型193nm化学増幅レジストの具体的な例は、AZ(登録商標)Electronic Materials,Somerville,NJを通して入手できるAZ(登録商標)AX3110p、及びAZ(登録商標)AX2110pである。
他の態様の一つでは、先ず、向上した解像度を有するパターン及び/またはダブルパターン化図形を、上述のように新規ポリマー型熱酸発生剤組成物を用いて生成し、そうして、このパターンを、以下により詳細に記載するように更に解像度を高めるために、グラフォエピタキシまたはケモエピタキシ誘導自己組織化(DSA)法においてガイドパターンとして使用する。
この方法は、基材上に中性層を形成し;中性層でコーティングされた基材上に、第一のフォトレジストを用いて複数の第一マスクパターンを形成し;ポリマー型熱酸発生剤を含む該新規組成物を施用し、ベークして該ポリマー型熱酸発生剤を活性化し、そしてそれを第一のフォトレジストパターン中に拡散し、その後、除去液を用いて未反応のポリマー型熱酸発生剤を除去してキャッピングフィルムを形成し;第二の組成物を用いたスピンコートによって第一のパターンを充填するように、キャッピングフィルム上に第二のマスク層を形成することを含む。第二の組成物は、上述のように第一のレジストパターンを溶解しないスピンキャスト溶媒中に溶解された水性アルカリ現像剤(例えばTMAH)中に不溶性であるポリマーであってよい。この第二の組成物は、第一のフォトレジスト中のトレンチのみが、第一のフォトレジスト中のラインの上面上に第二の材料を残すことなく充填されるような方法でコーティングしてよい。ベーク後、水性塩基現像剤を適用して、第一のフォトレジストパターンの周りの解保護化された薄層を現像除去してよく、その結果、ダブルパターン化が得られる。第二の組成物が、第一のフォトレジストパターン中のラインの上面を覆ってしまった場合には、TMAH現像の前に上面層を除去するために、プラズマエッチバックまたは化学機械的研磨を使用してよい。
施用される第二の組成物は、TMAHなどの水性アルカリ現像剤中での溶解速度が制御可能なポリマーであってもよい。この場合、ライン及びトレンチエリアの両方を覆う上面層を除去するために水性現像を直接適用してよい。第一のフォトレジストパターンの周りの解保護化された薄層も除去され、その結果、ダブルパターン化図形となる。
上述のように第一のレジストパターンを溶解しないスピンキャスト溶媒中に溶解された、キャップされた第一のフォトレジストパターン上に施用される第二のマスキング組成物は、更に、酸不安性基を含有するポリマーであってよい。ベーク時、第一のフォトレジストパターン中の該ポリマー型熱酸発生剤は、第二のマスキング層の隣接するエリア中に拡散し、そしてこの領域を解保護化する。TMAHなどの水性アルカリ現像剤は、解保護化された第一のフォトレジストと、解保護化された第二のマスキング材料の隣接している薄層の両方を除去し、その結果、ダブルパターン化図形となる。第二のマスク層が、第一のフォトレジストパターン中のライン及びトレンチエリアの両方を覆っている場合には、水性塩基現像の前に、乾式エッチバックまたは湿式エッチバックが必要な場合がある。
上記の方法を用いてダブルパターン化図形を生成した後は、図2に記載のように上記の新規ポリマー型熱酸発生剤組成物を用いて、トリミングプロセスを更に適用してCDを向上することができる。
中性層がコーティングされた基材上に上述の方法によって生成されたダブルパターン化図形は、ブロックコポリマーの誘導自己組織化のためのガイドパターンとして直接使用し得る。
中性層がコーティングされた基材上に上述の方法によって生成されたダブルパターン化図形は、ブロックコポリマーの誘導自己組織化を適用する前に、続く次のプロセスによって更に向上してよい。
この発明によって可能となる他のプロセスでは、中性層がコーティングされた基材上のダブルパターン化図形は、構造(2)のポリマー型熱酸発生剤または以下に記載のそれのコポリマーを用いて製造することができる。ダブルパターン化図形中の細いトレンチは次いでハードマスク材料で充填される。次の選択的プラズマエッチは、中性表面上の幅広いトレンチを伴い細いラインを生成する。このようなパターンは、緻密なラインを達成するためにブロックコポリマーの自己組織化を誘導するために使用される。この方法は、a)基材上に中性層を形成するステップ;b)中性層がコーティングされた基材上に、複数の第一のフォトレジストパターンをフォトリソグラフィによって形成するステップ;c)ポリマー型熱酸発生剤を水溶液から施用しそしてベークし、その後水性リンスし、構造(2)のポリマー型熱酸発生剤または以下に記載するそれのコポリマー材料を除去して第一のキャッピング層を形成するステップ;d)酸不安定性基を含有するポリマーを含む組成物を用いて、キャッピングフィルム上に第二のマスク層を形成するステップ;e)フィルムを加熱して、ポリマー型熱酸発生剤キャッピングフィルムから得られた酸を第二のマスク層中に拡散することによって、第一及び第二のキャッピングフィルムから第二のマスク層へと延在する、酸が拡散した複数の領域を形成するステップ;f)ポリマー型熱酸発生剤組成物を再びオーバーコートし、そしてベークするステップ;g)水性塩基現像剤(例えばTMAH)を用いて上面層、及び第一のフォトレジストと第二のキャッピング層との間の解保護化されたエリアの両方を除去することによって、ダブルパターン化図形を形成するステップ;h)ハードマスク層を堆積及び/またはスピンコートするステップ;i)ラインを覆っている上面ハードマスク層を、乾式プラズマエッチ及び/または適当な湿式エッチによって除去するステップ;j)溶媒中に溶解することによって、ダブルパターン化図形中の元のラインを除去して、狭いライン状ハードマスクパターンを形成するステップ;k)ブロックコポリマーを施用してコーティングを形成するステップ;l)自己誘導プロセスをガイドするために(j)で生成したキャップされた図形を用いてブロックコポリマーが誘導自己組織化するように、ブロックコポリマーを熱によりアニールするステップ、を含む。
上述の方法に適したハードマスク材料は、パーヒドロキシポリシラザン、アルキルもしくはアリールポリシラザンポリマーもしくはコポリマー、SiN、SiO、TiO、SiC、SiN、SiON、またはケイ素が豊富なもしくはプラズマエッチングに耐性のある他の耐熱性元素が豊富な他の材料からなる群から選択してよい。これらのハードマスクは、例えば化学蒸着、スパッタ堆積を初めとした任意の適当な方法によってまたはスピンコート可能な材料、非限定的な例としてはポリシラザン、シルセスキオキサン、スピンオンガラス及び類似物などの使用によって、堆積することができる。
上記の方法の一変形として、ステップ(i)は省略でき、その代わりに、ダブルパターン化図形中の元のラインの除去は、リフトオフ法によって実現してよい。
上記の方法に好適な基材は、ケイ素、金属表面でコーティングされたケイ素基材、銅でコーティングされたケイ素ウェハ、銅、アルミニウム、ポリマー性樹脂、二酸化ケイ素、金属、ドープした二酸化ケイ素、窒化ケイ素、炭化ケイ素、タンタル、ポリシリコン、セラミック、アルミニウム/銅混合物、ガラス、コーティングされたガラス;ヒ化ガリウム及び他のこのような第III/V族化合物を含む群から選択してよい。
上記の方法に好適なブロックコポリマーは、酸素ベースのプラズマ中において、エッチング速度が速いモノマー性単位のブロックとエッチング速度が遅いモノマー性単位のブロックとから構成された材料からなる群から選択してよい。
任意の適当な中性層組成物を使用してよい。このような組成物の一つは、層に形成された時に自己誘導ブロックコポリマーに対して中性のままであり、かつ誘導自己組織化技術の加工ステップによってダメージを受けず、及び更に、誘導自己組織化材料及びプロセスのリソグラフィ性能を向上することができ、特に加工の工程数を減らし及び良好なリソグラフィ性能をもってよりよいパターン解像度を供する中性層組成物であり、このような組成物は、例えば2011年9月23日に出願された米国特許出願第13/243,640号明細書(特許文献13)に記載されており(この特許文献の内容は本明細書中に掲載されたものとする)、そしてこれは、構造(4)の少なくとも一種の単位、構造(5)の少なくとも一種の単位及び構造(6)の少なくとも一種の単位からなるランダムコポリマーから構成される。
Figure 2016526183
式中、R’は、C〜Cアルキル、C〜Cフルオロアルキル、C〜C部分フッ素化アルキル、C〜Cシクロアルキル部分、C〜Cシクロフルオロアルキル部分、C〜C部分フッ素化シクロアルキル部分、及びC〜Cヒドロキシルアルキルからなる群から選択され;R’、R’及びR’は、独立して、H、C〜Cアルキル、CF及びFからなる群から選択され;R’は、H、C〜Cアルキル、C〜C部分フッ素化アルキル及びC〜Cフルオロアルキルからなる群から選択され、nは1〜5の範囲であり、R’は、H、F、C〜Cアルキル及びC〜Cフルオロアルキルからなる群から選択され、そしてmは1〜3の範囲である。
該中性層組成物は、基材上にコーティングし、そして加熱して溶媒を除去し及びフィルムを架橋する。典型的なフィルムの厚さは、加熱後に約3nm〜約50nm、または約3nm〜約30nm、または約4nm〜約20nm、または約5nm〜約20nm、または約10nm〜約20nmである。フィルムは、約180℃〜約350℃、または約200℃〜約300℃の範囲の温度で加熱することができる。架橋フィルムが形成されたら、そのコーティングは、任意の自己誘導組織化技術を用いて最終的にパターンを形成するために次の加工に使用してよい。このような技術の例は、グラフォエピタキシ、標準的なケモエピタキシ、ピン止めを行うケモエピタキシなどである。該新規中性層組成物によって形成された架橋された中性層は、この架橋された中性層が使用されるリソグラフィプロセス中に起こるかもしれないどのようなダメージ、例えば有機溶剤(例えば中性相の上にコーティングを形成するために使用された溶媒、溶媒現像剤など)からの溶解、水性アルカリ性現像剤中での溶解、中性層の上にコーティングされたフォトレジストに画像を形成するために使用したプロセス(例えばe−ビーム、euv、ディープuvなど)からのダメージ、またはフォトレジスト剥離液中への溶解にも拘わらず、中性のままである。架橋された層は、フォトレジストのコーティングに使用される溶媒、例えばPGMEA、PGME、乳酸エチルなどの溶媒中に可溶性ではない。
中性層組成物と共に誘導自己組織化に使用されるブロックコポリマーは、自己組織化を介してドメインを形成できるものであれば任意のブロックコポリマーであることができる。自己会合する傾向のある同じタイプのブロックによって、ミクロドメインが形成される。典型的には、この目的に使用されるブロックコポリマーは、モノマーから誘導された繰り返し単位が、組成的に、構造的にまたはその両方で異なりかつ相分離及びドメイン形成ができるブロックに配列するポリマーである。これらのブロックは、一方のブロックは削除し、他方のブロックは表面上にそのまま維持し、そうして表面上にパターンを供するのに使用できるという異なる性質を有する。それ故、ブロックは、プラズマエッチング、溶剤エッチング、水性アルカリ性溶液を用いた現像剤エッチングなどによって選択的に削除することができる。有機モノマーをベースとするブロックコポリマーでは、一つのブロックは、ポリジエンも包含するポリオレフィン系モノマー、ポリ(エチレンオキシド)、ポリ(プロピレンオキシド)、ポリ(ブチレンオキシド)及びこれらの混合物などのポリ(アルキレンオキシド)を始めとするポリエーテルからできていることができ;他のブロックは、ポリ((メタ)アクリレート)、ポリスチレン、ポリエステル、ポリオルガノシロキサン、ポリオルガノゲルマン及び/またはこれらの混合物などの様々なモノマーからできていることができる。ポリマー鎖中のこれらのブロックは、それぞれ、モノマーから誘導された一種またはそれ超の繰り返し単位を含むことができる。必要なパターン及び使用する方法のタイプに依存して、異なるタイプのブロックコポリマーを使用し得る。例えば、これらは、ジブロックコポリマー、トリブロックコポリマー、ターポリマーまたはマルチブロックコポリマーから成っていてよい。これらのブロックコポリマーのブロックは、それら自体が、ホモポリマーまたはコポリマーから成っていてよい。異なるタイプのブロックコポリマーも自己組織化に使用でき、例えば樹脂状ブロックコポリマー、超分岐ブロックコポリマー、グラフトブロックコポリマー、有機ジブロックコポリマー、有機マルチブロックコポリマー、線状ブロックコポリマー、星形ブロックコポリマー、両親媒性無機ブロックコポリマー、両親媒性有機ブロックコポリマー、または異なるタイプのブロックコポリマーから少なくともなる混合物などがある。
有機ブロックコポリマーのブロックは、C2−30オレフィンなどのモノマー、C1−30アルコールから誘導される(メタ)アクリレートモノマー、無機含有モノマー、例えばSi、Ge、Ti、Fe、Alをベースとするモノマーから誘導される繰り返し単位を含んでよい。C2−30オレフィンをベースとするモノマーは、単独でまたは一種の他のオレフィン性モノマーとの組み合わせで、高エッチング耐性のブロックを構成することができる。このタイプのオレフィン性モノマーの具体例は、エチレン、プロピレン、1−ブテン、1,3−ブタジエン、イソプレン、ジヒドロピラン、ノルボルネン、無水マレイン酸、スチレン、4−ヒドロキシスチレン、4−アセトキシスチレン、4−メチルスチレン、アルファ−メチルスチレンまたはこれらの混合物である。高エッチング可能な単位の例は、(メタ)アクリレートモノマー、例えば(メタ)アクリレート、メチル(メタ)アクリレート、エチル(メタ)アクリレート、n−プロピル(メタ)アクリレート、イソプロピル(メタ)アクリレート、n−ブチル(メタ)アクリレート、イソブチル(メタ)アクリレート、n−ペンチル(メタ)アクリレート、イソペンチル(メタ)アクリレート、ネオペンチル(メタ)アクリレート、n−ヘキシル(メタ)アクリレート、シクロヘキシル(メタ)アクリレート、イソボルニル(メタ)アクリレート、ヒドロキシエチル(メタ)アクリレートまたはこれらの混合物から誘導することができる。
一つのタイプの高エッチング耐性繰り返し単位を含むブロックコポリマーの説明に役立つ例は、スチレンから誘導される繰り返し単位のみを含むポリスチレンブロックと、メチルメタクリレートから誘導された繰り返し単位のみを含む他のタイプの高エッチング可能なポリメチルメタクリレートブロックであろう。これらは一緒になって、ブロックコポリマーであるポリ(スチレン−b−メチルメタクリレート)を形成し、ここでbはブロックのことを指す。
パターン化された中性層上での誘導自己組織化に使用されるグラフォエピタキシ、ケモエピタキシまたはピン止めケモエピタキシに有用なブロックコポリマーの具体的で非限定的な例は、ポリ(スチレン−b−ビニルピリジン)、ポリ(スチレン−b−ブタジエン)、ポリ(スチレン−b−イソプレン)、ポリ(スチレン−b−メチルメタクリレート)、ポリ(スチレン−b−アルケニル芳香族類)、ポリ(イソプレン−b−エチレンオキシド)、ポリ(スチレン−b−(エチレン−プロピレン))、ポリ(エチレンオキシド−b−カプロラクトン)、ポリ(ブタジエン−b−エチレンオキシド)、ポリ(スチレン−b−t−ブチル(メタ)アクリレート)、ポリ(メチルメタクリレート−b−t−ブチルメタクリレート)、ポリ(エチレンオキシド−b−プロピレンオキシド)、ポリ(スチレン−b−テトラヒドロフラン)、ポリ(スチレン−b−イソプレン−b−エチレンオキシド)、ポリ(スチレン−b−ジメチルシロキサン)、ポリ(メチルメタクリレート−b−ジメチルシロキサン)、または上記のブロックコポリマーの少なくとも一つを含む組み合わせである。これらの全てのポリマー性材料は、ICデバイスの製造に典型的に使用されるエッチング技術に対し耐性のある繰り返し単位に富む少なくとも一つのブロックと、これらの同じ条件下に迅速にエッチングされる少なくとも一つのブロックとの存在という点で共通する。これは、誘導自己組織化されたポリマーが、基材にパターン転写を行い、パターン補正またはパターン増倍のいずれかをもたらすことを可能とする。
典型的には、グラフォエピタキシ、ケモエピタキシまたはピン止めケモエピタキシなどでの誘導自己組織化に使用されるブロックコポリマーは、約3,000〜約500,000g/モルの範囲の重量平均分子量(M)、及び約1,000〜約60,000の数平均分子量(M)、及び約1.01〜約6、または1.01〜約2、または1.01〜約1.5の多分散性(M/M)を有する。分子量のM及びMは両方とも、例えば、ポリスチレン標準に対してキャリブレートした一般のキャリブレーション法を用いてゲル透過クロマトグラフィにより測定できる。これは、ポリマーブロックが、所定の表面に付与された時に自然発生的に、または純粋に熱的な処理を用いることで、または自己組織化が起こるようにセグメントの流れを高めるためにポリマーフレームワークに溶剤蒸気を吸収させることでアシストされた熱的プロセスを介して、自己組織化するための十分な可動性を持つことを保証する。
フィルムを形成するためのブロックコポリマーの溶解に適した溶剤は、ブロックコポリマーの溶解性要件で変わり得る。ブロックコポリマーアセンブリのための溶剤の例には、プロピレングリコールモノメチルエーテルアセテート(PGMEA)、エトキシエチルプロピオネート、アニソール、乳酸エチル、2−ヘプタノン、シクロヘキサノン、アミルアセテート、n−ブチルアセテート、n−アミルケトン(MAK)、ガンマ−ブチロラクトン(GBL)、トルエン及び類似物などが挙げられる。一つの態様では、特に有用なキャスト溶剤には、プロピレングリコールモノメチルエーテルアセテート(PGMEA)、ガンマ−ブチロラクトン(GBL)、またはこれらの溶剤の組み合わせなどが挙げられる。
該ブロックコポリマー組成物は、無機含有ポリマー;小分子、無機含有分子、界面活性剤、光酸発生剤、熱酸発生剤、クエンチャ、硬化剤、架橋剤、鎖延長剤、及び類似物などを包含する添加剤;並びに上記の少なくとも一つを含む組み合わせからなる群から選択される追加の成分及び/または添加剤を含むことができ、ここで前記追加の成分及び/または添加剤の一種以上は、ブロックコポリマーと一緒に組織化して、ブロックコポリマーアセンブリを形成する。
上記の方法に記載した材料は、リフトオフプロセスについて上述したように選択することができる。
上記で触れた文献はそれぞれ、全ての目的に関してその内容の全てが本明細書に掲載されたものとする。以下の具体例は、本発明の組成物を製造及び利用する方法の詳細な例示を与えるものである。しかし、これらの例は、本発明の範囲を如何様にも限定もしくは減縮することを意図したものではなく、本発明を実施するために排他的に利用しなければならない条件、パラメータまたは値を教示するものと解釈するべきではない。
ポリマー型熱酸発生剤(合成例2)及びそれの前駆体ポリマー(合成例1)の分子量は、ゲル透過クロマトグラフで、Water 2695 Alliance Separation Moduleを用いて、あるいはWaters Dual Wavelength UV Detector,Model 2487もしくは等価物及びWaters Differential Refractometer,Model 2414 Dectector,等価物を備えた等価物を用いて測定した。合成例3のポリマーの分子量は、ゲル透過クロマトグラフで、Water 2695 Alliance Separation Moduleを用いて、あるいはWaters Dual Wavelength UV Detector,Model 2487もしくは等価物及びWaters Differential Refractometer,Model 2414、Dectector等価物を備えた等価物を用いて測定した。PGMEA中でのポリマーの溶解度測定及び熱重量分析測定は、実施例に記載のように行った。
全ての化学品は、他に記載がなければ、Sigma−Aldrich Co.から得た。
合成例1(アミノ含有ポリマー):ポリ(N,N−ジメチルアミノエチルアクリレート−co−N−ビニルピロリドン)の合成
Figure 2016526183
N,N−ジメチルアミノエチルアクリレート(DMAEA)(25.70g、0.1795モル)、N−ビニルピロリドン(NVP)(19.95g、0.1795モル)、6.85gの開始剤としてのアゾ−ビスイソブチロニトリル(AIBN)及び97.50gのアセトニトリルの混合物を、水流式凝縮器及び窒素入口を備えた500ml丸底フラスコに加えた。開始剤濃度は、モノマーの全重量に対し15重量%であった。イソプロピルアルコール(IPA)、2−ブタノン及びメタノールなどの他の溶媒を、アセトニトリルの代わりに使用することもできる。窒素ガスを、攪拌しながら室温で30分間、前記溶液中にパージした。窒素パージの後、反応溶液を65℃に加熱した。重合反応は6時間行った。重合の完了後、ポリマー溶液を30℃に冷却し、そしてロータリーエバポレータを用いて濃縮した。濃縮溶液をジエチルエーテル中で析出した。ジイソプロピルエーテル及びtert−ブチルメチルエーテルなどの他の溶媒を使用してもよい。使用した析出溶媒の量は、反応の初期体積の7倍であった。最終コポリマーを40℃で真空乾燥し、収率は70%であった。コポリマーを、NMR、GPCで特徴付けした。ポリマーの重量平均分子量は24,832(Mw)であり、そして多分散性は4.0であった。
合成例2(スルホン酸で中和したアミノポリマー):単離された材料としてのポリ(N,N−ジメチルアミノエチルアクリレート−co−N−ビニルピロリドン)パラ−トルエンスルホン酸塩の合成
例1で製造した123.6gのポリ(N,N−ジメチルアミノエチルアクリレート−co−ビニルピロリドン)を、攪拌しながら428gのアセトニトリル中に溶解した。117gのp−トルエンスルホン酸一水和物(PTSA)を約720gのアセトニトリル中に溶解し、そして濾過して、透明な溶液を得た。このPTSA溶液を、攪拌しながら上記のポリマー溶液中にゆっくりと添加した。添加後、この溶液を室温で18時間乾燥した。次いで、この反応混合物を約50gのメタノールで希釈し、そして濾過紙を通して濾過した。この溶液を、40℃でロータリーエバポレータを用いて約1.5リットルに濃縮した。攪拌しながら、約4リットルのジエチルエーテルをこのポリマー溶液にゆっくりと添加して、白色のポリマーゴムを得た。このポリマーを、攪拌しながら新鮮なジエチルエーテルで二度洗浄した。このポリマーを、上記と同じ手順により、約1リットルのメタノール中に再溶解しそしてジエチルエーテル中に析出することによって更に精製した。最後に、ポリマーを、重量が一定となるまで、50℃の減圧炉中で乾燥した。約165gのポリマーが得られた。
ポリマーの重量平均分子量は44587(Mw)であり、そして多分散性は8.5であった。ポリマーを特徴付けするためにC−13 NMRも使用した。それにより、構造7に帰属するような最終生成物中の予期される炭素の存在が確認された:炭素17(142.8ppm);炭素16(140.5ppm);炭素15(21.2ppm);炭素14(130.1ppm);炭素13(126.0ppm);炭素12(178.8ppm);炭素11(175.9ppm);炭素10(35.0ppm);炭素9(45.1ppm);炭素8(43.7ppm);炭素7(56.1ppm);炭素6(59.8ppm);炭素5(35.0ppm);炭素4(45.5ppm);炭素3(31.9ppm);炭素2(18.2pm);炭素1(45.8ppm)。
Figure 2016526183
合成例3(酸不安定性基含有ポリマー)
1073.5gのSPN560ノボラック(CYTEC Chemical Co.)(PGMEA中で47.3%の固形物含有率)と組み合わせた1821.6gのCZ−6ポリマー(Dupont Chemical Co.)溶液(PGMEA中で41.32%の固形物含有率)からなる反応混合物を、良好な攪拌、加熱マントル、温度計、温度制御、凝縮器及び圧力ゲージモニターを備えた5つ首5リットルフラスコ中に入れ、よく攪拌しながら二時間で室温から120℃までゆっくりと加熱した。120℃で反応させたら、100gのPGMEA中に溶解した114gのエチルビニルエーテル(EVE)を、反応器中に発生する圧力を監視し、過剰の圧力の発生を避けるように添加速度を調節しながら(すなわち、5lb/inを超えない添加速度)、攪拌された反応混合物中にゆっくりと加えた。反応が完了した後、攪拌された反応混合物を更に3時間、120℃に維持した。この時間の経過後、残留EVEを一部の溶媒と一緒に留去し、そして反応混合物を室温まで放冷した。反応溶液の最終固形物含有率は約45.6重量%であった。PALポリマーは、次のように溶解速度の変化によって特徴付けした。SPN−560ノボラックの初期溶解速度は、1,000Å/S超(1,200〜1,650)となるように選択し、他方で、CZ−6(DuPontコポリマー6HS/4t−BA)の溶解速度は約27〜30Å/Sであり、このブレンドの反応前の溶解速度は600〜200Å/Sとなる。反応後、EVEによって官能化されたこのブレンドは、2Å/S未満(典型的には約1.3)の溶解速度を有する。
リソグラフィ例1:ラインキャッピング及びその後のライントリミング
「合成例2」に記載されたポリ(N,N−ジメチルアミノエチルアクリレート−co−N−ビニルピロリドン)トシル酸塩(4g)及び0.0005gの界面活性剤(Pionion SF−485)を、96gの脱イオン水中に溶解した。この調合物を12時間混合して均一な溶液を調製し、そして0.2ミクロンPTFEフィルタで濾過した。
反射防止コーティングとしてのAZ(登録商標)ArF−1C5Dを、ベアのケイ素ウェハ上にスピンコートし、次いで200℃で60秒間ベークして、37nm厚のフィルムを形成した。フォトレジストAZ(登録商標)AX2110Pをこの積層体上にスピンコートし、そして120nmフィルムが得られるように110℃で60秒間ベークした。次いで、このフィルム積層体を193nmNikon306Dスキャナを用いて露光した。110℃で60秒間のポスト露光ベークを適用した。次いで、このウェハをAZ(登録商標)MIF300(AZ electronic Materials,Somerville,NJから入手可能)を用いて30秒間現像して、80nmのライン/スペース図形を製造した。
これらのリソグラフィ図形を次いで、上記で調製したポリ(N,N−ジメチルアミノエチルアクリレート−co−N−ビニルピロリドン)トシル酸塩の溶液でスピンコートし、120℃で60分間ベークして、50nmのオーバーコートを形成した。このウェハを次いで、AZ MIF300を用いて30秒間現像した。この現像後に、ライン幅が72nmに減少した。
リソグラフィ例:2LIRプロセス
「合成例2」に記載されたポリ(N,N−ジメチルアミノエチルアクリレート−co−N−ビニルピロリドン)トシル酸塩(4g)及び0.0005gの界面活性剤(Pionion SF−485)を、96gの脱イオン水中に溶解した。この調合物を12時間混合して均一な溶液を調製し、そして0.2ミクロンPTFEフィルタで濾過した。
底面反射防止コーティング材料(B.A.R.C.)のAZ(登録商標)ArF−1C5D(AZ(登録商標)EM USA Corp,70 Meister Ave.,Somerville,NJの製品)を、ケイ素基材上にスピンコートし、そして200℃で60秒間ベークして、厚さ37nmの反射防止コーティング層を調製した。次いで、AZ(登録商標)AX3110Pフォトレジスト溶液(AZEM USA Corps,70,Meister Ave.,Somerville,NJの製品)を、上記の底面反射防止膜(B.A.R.C.)がコーティングされたケイ素基材上にスピンコートした。次いで、このフォトレジストフィルムを100℃で60秒間ベークして、120nmのフィルム厚を得た。193nmで露光した後、このウェハを110℃で60秒間ポスト露光ベークし、そしてAZ(登録商標)MIF現像剤(AZEM USA Corps,70,Meister Ave.,Somerville,NJの製品)を用いて30秒間現像して、孤立した40〜52nmラインを形成した。
得られたフォトレジストパターンを次いで、上記で調製したポリ(N,N−ジメチルアミノエチルアクリレート−co−N−ビニルピロリドン)トシル酸塩の溶液でオーバーコートし、130℃で60秒間ベークし、DI水で30秒間すすいだ。
合成例3のポリマーを4−メチル−2−ペンタノール中に溶解することによってこのポリマーの3.6重量%溶液を調製し、そしてこれを0.2ミクロンPTFEフィルタで濾過した。この溶液を上記のリソグラフィ図形上にスピンキャストして80nm厚のフィルムを得、100℃で60秒間ソフトベークしてコーティングされたフィルム中の溶媒を除去し、次いで60秒間120℃ベークを行った。
1.32gのp−トルエンスルホン酸ピリジニウムを298.7gの4.2重量%ポリビニルアルコール水溶液中に溶解し、そして0.2ミクロンナイロンフィルタで濾過した。この溶液を上記のウェハ上にスピンキャストして90nmフィルムを形成し、そしてこのフィルムを120℃で60秒間ベークした。最後に、このウェハを2.38重量%TMAH現像剤を用いて45秒間現像し、画像反転された24〜32nmの狭いトレンチを得た。
リソグラフィ例3:ポリマー熱酸発生剤組成物を用いたダブルパターン化プロセス
ステップ1 第一のフォトレジストパターン
レジストAZ(登録商標)SZF−153を、10nmAZ(登録商標)Experimental NLD−175中性層で前もってコーティングした6インチSiウェハ上に1.05μm厚のフィルムとしてコーティングした。このフィルムを次いで、110℃/90秒でソフトベークし、365nmステッパを用いて50mJ/cmで露光し、110℃/120秒でポスト露光ベークし、そして最後に2.38重量%テトラメチルアンモニウムヒドロキシド(TMAH)を用いて60秒間現像して、841nm/908nmL/S図形を生成した。
ステップ2 「合成例2」のポリマー型TAGでの処理
合成例2で製造したポリマーの10重量%溶液を、このポリマーを水中に溶解することによって調製した。界面活性剤SF−485を加えて、界面活性剤含有率を500ppmとした。この溶液を、ステップ1で作製したリソグラフィパターン上に300rpm/30秒でコーティングしそして130℃/180秒でベークし、そして蒸留水で60秒間すすいで、ポリマー型TAGの作用で処理されたリソグラフィ図形を生成した。
ステップ3 酸不安定性基含有ポリマーでの処理
合成例3で製造したポリマーの9重量%溶液を、このポリマーをPGMEA中に溶解して調製し、そしてこれを、ステップ2で生成したカプセル封入された図形上に2000rpm/2分間でコーティングし、そしてベーク(100℃/90秒間)した。
ステップ4 ポリマー型熱酸発生剤での第二の処理
合成例2で製造したポリマーの5重量%溶液を、このポリマーを水中に溶解することによって調製した。界面活性剤SF−485を加えて、界面活性剤含有率を500ppmとした。この溶液を、ステップ3で生成したパターン化されたウェハ上に300rpm/30秒間でコーティングし、次いでそのフィルムを120℃/180秒間でベークし、そして最後に2.38重量%TMAHで現像した。これは、上を覆うPALポリマーがフィルムの上面から除去されそして元のパターンと、元のリソグラフィパターン間に残った酸不安定性基含有ポリマーフィルムとの間に細いトレンチも形成された結果、ダブルパターン化図形となったリソグラフィ図形を生成した。
比較合成例1:水溶液中で製造されたが、単離されなかったポリ(N,N−ジメチルアミノエチルアクリレート−co−N−ビニルピロリドン)パラトルエンスルホネート酸塩の合成
ステップ1:
Figure 2016526183
N,N−ジメチルアミノエチルアクリレート(DMAEA)(25.70g、0.1795モル)、N−ビニルピロリドン(NVP)(19.95g、0.1795モル)、6.85gの開始剤としてのアゾ−ビスイソブチロニトリル(AIBN)及び97.50gのアセトニトリルの混合物を、水流式凝縮器及び窒素入口を備えた500ml丸底フラスコに加えた。開始剤濃度は、モノマーの全重量に対し15重量%であった。イソプロピルアルコール(IPA)、2−ブタノン及びメタノールなどの他の溶媒を、アセトニトリルの代わりに使用することもできる。窒素ガスを、攪拌しながら室温で30分間、前記溶液中にパージした。窒素パージの後、反応溶液を65℃に加熱した。重合反応は6時間行った。重合の完了後、ポリマー溶液を30℃に冷却し、そしてロータリーエバポレータを用いて濃縮して、溶媒及び未反応モノマーを除去した。ポリ(N,N−ジメチルアミノエチルアクリレート−co−N−ビニルピロリドン)の10重量%溶液をDI水中で調製した。pHを、Metrohm 716 DMS Titrino(Brinkman Instruments,Inc.)を用いて測定した。ポリ(DMAEA−co−NVP)の初期pHは11であった。
ステップ2:
DI水中のパラ−トルエンスルホン酸(pTSA)の5重量%溶液を、一定に攪拌しながらpHが7.0となるまで、ステップ1で調製された上記のポリ(N,N−ジメチルアミノエチルアクリレート−co−N−ビニルピロリドン)の10重量%溶液にゆっくりと加えた(p−TSAを100モル%添加)。パラトルエンスルホン酸p−TSAの5重量%溶液を目的のpHが達成されるまでゆっくりと更に加えることによって、pH5及びpH2の酸性ポリマー溶液も試験用に同様のやり方で調製した。pH5及びpH2は、それぞれ150モル%及び200モル%のp−TSAを加えることによって達成された。
pH7.0のポリマー溶液の特性データ
13C NMR(CDOD)データ:δ176.5ppm(>=O,NVP);δ175.0ppm(>=O,DMAEA);δ62.2ppm(O−,DMAEA);δ57.6ppm(>N−,DMAEA);δ45.3ppm(−N−,DMAEA);δ45.2ppm(−N−,NVP);δ42.0−34.0ppm(NVP & DMAEAの主鎖−H,,重複);δ32.2ppm(O=C−,NVP);δ19.0ppm(−N−CH−,NVP),δ125.8−129.7ppm(フェニルの−H),δ140−142.5ppm(pTSAの第四炭素)及びδ20.4(pTSAの−)。
ポリ(N,N−ジメチルアミノエチルアクリレート−co−N−ビニルピロリドン)パラトルエンスルホネート塩の溶液を溶液状態で150モル%または200モル%のp−TSAで中和した時は、これらの溶液は安定しておらず、置いておくと曇った。しかし、100モル%のp−TSAが添加されたpH7の対応する溶液は安定していた。その結果、100モル%のp−TSAが添加されたpH7.0の10重量%ポリマー溶液だけを、「比較リソグラフィ例1」に使用した。
100モル%のp−TSAが添加された「比較合成例1」で調製されたpH7.0の溶液を使用し、そして500ppmの界面活性剤Pionion SF−485を加え、そしてこの溶液を0.2ミクロンPTFEフィルタで濾過した。
比較リソグラフィ例1:LIRプロセス
比較合成例1に記載したポリ(N,N−ジメチルアミノエチルアクリレート−co−N−ビニルピロリドン)トシル酸塩を水で希釈して4重量%溶液を得、そして界面活性剤(Pionion SF−485)を500ppm添加し、そしてこの溶液を0.2ミクロンPTFEフィルタで濾過した。
底面反射防止コーティング材料(B.A.R.C.)のAZ(登録商標)ArF−1C5D(AZ(登録商標)EM USA Corp,70 Meister Ave.,Somerville,NJの製品)を、ケイ素基材上にスピンコートし、そして200℃で60秒間ベークして、厚さ37nmの反射防止コーティング層を調製した。次いで、AZ(登録商標)AX3110Pフォトレジスト溶液(AZEM USA Corps,70,Meister Ave.,Somerville,NJの製品)を、上記の底面反射防止膜(B.A.R.C.)がコーティングされたケイ素基材上にスピンコートした。次いで、このフォトレジストフィルムを100℃で60秒間ベークして、120nmのフィルム厚を得た。193nmで露光した後、このウェハを110℃で60秒間ポスト露光ベークし、そしてAZ(登録商標)MIF現像剤(AZEM USA Corps,70,Meister Ave.,Somerville,NJの製品)を用いて30秒間現像して、孤立した40〜52nmラインを形成した。
得られたフォトレジストパターンを次いで、上記で調製したポリ(N,N−ジメチルアミノエチルアクリレート−co−N−ビニルピロリドン)トシル酸塩の溶液でオーバーコートし、130℃で60秒間ベークし、DI水で30秒間すすいだ。
合成例3のポリマーを4−メチル−2−ペンタノール中に溶解することによってこのポリマーの3.6重量%溶液を調製し、そしてこれを0.2ミクロンPTFEフィルタで濾過した。この溶液を上記のリソグラフィ図形上にスピンキャストして80nm厚のフィルムを得、100℃で60秒間ソフトベークしてコーティングされたフィルム中の溶媒を除去し、次いで60秒間120℃ベークを行った。
1.32gのp−トルエンスルホン酸ピリジニウムを298.7gの4.2重量%ポリビニルアルコール水溶液中に溶解し、そして0.2ミクロンナイロンフィルタで濾過した。この溶液を上記のウェハ上にスピンキャストして90nmフィルムを形成し、そしてこのフィルムを120℃で60秒間ベークした。最後に、このウェハを2.38重量%TMAH現像剤で45秒間現像した。様々なpHを有するポリ(N,N−ジメチルアミノエチルアクリレート−co−N−ビニルピロリドン)トシル酸塩の溶液は全て、図形の接着性の損失を伴って非常に不良のリソ画像反転を与えた。比較として、合成例2に記載のように調製された単離されたポリマーは、図形の接着性の損失の徴候を何ら示すことなく非常に良好なLIRを与えた(リソグラフィ例1:LIRプロセス参照)。

Claims (15)

  1. フォトレジストパターン上に層を形成することができる、ポリマー型熱酸発生剤の水性コーティング組成物であって、構造2の少なくとも一種の繰り返し単位を有するポリマーを含む、前記水性コーティング組成物。
    Figure 2016526183
    式中、R〜Rは、独立して、H及びC〜Cアルキルからなる群から選択され;Rは、アリール、置換されたアリール、アルキル(C〜C)及びフルオロアルキル(C〜C)からなる群から選択され、そしてWはC〜Cアルキレンスペーサー基である。
  2. ポリマーが、構造1の少なくとも一種の繰り返し単位を含むポリマーとスルホン酸との単離された反応生成物である、請求項1に記載の水性コーティング組成物。
    Figure 2016526183
    式中、R〜Rは、独立して、H及びC〜Cアルキルからなる群から選択される。
  3. ポリマーが構造3の繰り返し単位を更に含む、請求項1または2に記載の水性コーティング組成物。
    Figure 2016526183
    式中、R〜Rは、独立して、水素及びC〜Cアルキルから選択され、Aは、単結合、O、C(O)、(C=O)O、C〜Cアルキルから選択され;X、Y、Z及びNは環状構造を形成し、更にここでXは、C〜Cアルキレン、不飽和C〜Cアルキレン、直接結合及びこれらの混合物から選択され、Yは、C〜Cアルキレン、不飽和C〜Cアルキレン、直接結合及びこれらの混合物から選択され、Zは、酸素、C(O)及び窒素から選択される。
  4. 構造(3)の繰り返し単位が次から選択される、請求項3に記載のコーティング組成物。
    Figure 2016526183
    式中、R〜Rは、独立して、水素及びC〜Cアルキルから選択され、X、Y、Z及びNは環状構造を形成し、更にここでXは、C〜Cアルキレン、不飽和C〜Cアルキレン、直接結合及びこれらの混合物から選択され、Yは、C〜Cアルキレン、不飽和C〜Cアルキレン、直接結合及びこれらの混合物から選択され、Zは、酸素、C(O)及び窒素から選択される。
  5. 構造(3)のモノマー性単位が次から選択される、請求項3または4に記載のコーティング組成物。
    Figure 2016526183
  6. Wがメチレン及びエチレンから選択される、請求項1または2に記載のコーティング組成物。
  7. 水混和性溶媒を更に含む、及び/または界面活性剤、C〜Cアルコール及び架橋性化合物から選択される添加剤を更に含む、及び/または架橋性化合物を含まない、請求項1〜4のいずれか一つに記載の組成物。
  8. 微細電子デバイスを製造する方法であって、
    a)基材にフォトレジストパターンを供し;
    b)このフォトレジストパターンを、請求項1〜7のいずれか一つに記載のポリマー型熱酸発生剤の水性コーティング組成物でコーティングし;
    c)フォトレジストパターンと接触している部分のコーティング組成物を、ベークすることによって反応させ;及び
    d)反応していない部分のコーティング組成物を水性除去液で除去して、フォトレジストパターンの表面上にコーティングを形成する、
    ことを含む前記方法。
  9. a)コーティングされたフォトレジストパターンを、水性塩基現像剤で現像して、フォトレジストパターンのトリミングを行うステップを更に含む、請求項8に記載の方法。
  10. a)コーティングされたフォトレジストパターンを、水性塩基可溶化基で保護された酸不安定性基を含むポリマーと、第一のフォトレジストパターンを溶解しない溶媒とを含む第二の組成物でコーティングするステップ;
    b)水性塩基可溶性基を保護する酸不安定性基を含むポリマーを含む前記組成物と、請求項1に記載のポリマー結合型熱酸発生剤とを、コーティングされたキャップされたフォトレジスト図形をベークすることによって反応させるステップ;及び
    c)熱酸発生剤でキャップされたフォトレジスト図形と、酸不安定性基を持つポリマーとの間の境界面で解保護化された材料を、水性アルカリ現像剤で除去するステップ、
    を更に含む、請求項8に記載の方法。
  11. 第二の組成物が、水性アルカリで現像可能なポジトーン化学増幅フォトレジストである、及び/または水性塩基可溶性基を保護する酸不安定性基を含むポリマーが、次の(a)〜(e)からなる群から選択される、請求項10に記載の方法。
    a)(i)置換されたかもしくは置換されていないヒドロキシスチレンと、アクリレート、メタクリレート、またはアクリレートとメタクリレートとの混合物とを含むポリマー(前記アクリレート及び/またはメタクリレートは、脱ブロック化に高い活性化エネルギーを必要とする酸不安定性基で保護されている)と、(ii)ビニルエーテル及び置換されていないかもしくは置換された複素脂肪環式類から選択された化合物との間で、触媒の不在下に形成された反応生成物;
    b)(i)ノボラックポリマー、(ii)2〜7個のフェノール基を有するポリヒドロキシ化合物、及び(iii)ビニルエーテル及び置換されていないかもしくは置換された複素脂肪環式類から選択された化合物の間で、触媒の不在下に形成された反応生成物;
    c)(i)ノボラックポリマー、(ii)置換されたかもしくは置換されていないヒドロキシスチレンと、アクリレート、メタクリレート、またはアクリレートとメタクリレートとの混合物とを含むポリマー(前記アクリレート及び/またはメタクリレートは、脱ブロック化に高い活性化エネルギーを必要とする酸不安定性基で保護されている)、及び(iii)ビニルエーテル及び置換されていないかもしくは置換された複素脂肪環式類から選択された化合物の間で、触媒の不在下に形成された反応生成物;
    d)(i)(a)ノボラックポリマー、(b)2〜7個のフェノール基を有するポリヒドロキシ化合物、及び(c)ビニルエーテル及び置換されていないかもしくは置換された複素脂肪環式類から選択された化合物の間で触媒の不在下に形成された反応生成物と、(ii)置換されたかもしくは置換されていないヒドロキシスチレンと、アクリレート、メタクリレート、またはアクリレートとメタクリレートとの混合物とを含むポリマー(前記アクリレート及び/またはメタクリレートは、脱ブロック化のための高い活性化エネルギーで保護されている)との混合物;及び
    e)(i)(a)ノボラックポリマー、(b)2〜7個のフェノール基を有するポリヒドロキシ化合物、及び(c)ビニルエーテル及び置換されていないかもしくは置換された複素脂肪環式類から選択された化合物の間で触媒の不在下に形成された反応生成物、(ii)置換されたかもしくは置換されていないヒドロキシスチレンと、アクリレート、メタクリレート、またはアクリレートとメタクリレートとの混合物とを含むポリマー(前記アクリレート及び/またはメタクリレートは、脱ブロック化に高い活性化エネルギーを必要とする酸不安定性基で保護されている)、及び(iii)ノボラック、の混合物。
  12. 熱酸発生剤でキャップされたフォトレジスト図形を溶解しないスピンキャスト溶媒が、C〜Cアルキルアセテート、C〜C12アルカン、C〜Cアルコール、及びこれらの混合物からなる群から選択され、好ましくは酢酸ブチル、酢酸プロピル、酢酸ペンチル、4−メチル−2−ペンタノール、ペンタノール、及びヘキサノールからなる群から選択される、請求項10または11に記載の方法。
  13. 微細電子デバイスを製造する方法であって、
    a)基材にフォトレジストパターンを供し;
    b)このフォトレジストパターンを、請求項1〜7のいずれか一つに記載のポリマー型熱酸発生剤の水性コーティング組成物でコーティングし;
    c)フォトレジストパターンと接触している部分のコーティング組成物を、ベークすることによって反応させ;
    d)反応していない部分のコーティング組成物を水性除去液で除去して、フォトレジストパターンの表面上にコーティングを形成し;
    e)コーティングされたフォトレジストパターンを、水性現像剤中に不溶性のポリマーと、第一のフォトレジストパターンを溶解しない溶媒とを含む第二の組成物でコーティングし;及び
    f)水性塩基現像剤で現像することによって元のフォトレジストパターンを除去して、リソグラフィ画像反転を起こす、
    ことを含む前記方法。
  14. 第二の組成物が、水性アルカリによって現像可能なポジトーン化学増幅フォトレジストである、請求項13に記載の方法。
  15. 水性塩基可溶性基を保護する酸不安定性基を含むポリマーが、次のa)〜e)からなる群から選択される、請求項13または14に記載の方法。
    a)(i)置換されたかもしくは置換されていないヒドロキシスチレンと、アクリレート、メタクリレート、またはアクリレートとメタクリレートとの混合物とを含むポリマー(前記アクリレート及び/またはメタクリレートは、脱ブロック化に高い活性化エネルギーを必要とする酸不安定性基で保護されている)と、(ii)ビニルエーテル及び置換されていないかもしくは置換された複素脂肪環式類から選択された化合物との間で、触媒の不在下に形成された反応生成物;
    b)(i)ノボラックポリマー、(ii)2〜7個のフェノール基を有するポリヒドロキシ化合物、及び(iii)ビニルエーテル及び置換されていないかもしくは置換された複素脂肪環式類から選択された化合物の間で、触媒の不在下に形成された反応生成物;
    c)(i)ノボラックポリマー、(ii)置換されたかもしくは置換されていないヒドロキシスチレンと、アクリレート、メタクリレート、またはアクリレートとメタクリレートとの混合物とを含むポリマー(このアクリレート及び/またはメタクリレートは、脱ブロック化に高い活性化エネルギーを必要とする酸不安定性基で保護されている)、及び(iii)ビニルエーテル及び置換されていないかもしくは置換された複素脂肪環式類から選択された化合物の間で、触媒の不在下に形成された反応生成物;
    d)(i)(a)ノボラックポリマー、(b)2〜7個のフェノール基を有するポリヒドロキシ化合物、及び(c)ビニルエーテル及び置換されていないかもしくは置換された複素脂肪環式類から選択された化合物の間で触媒の不在下に形成された反応生成物と、(ii)置換されたかもしくは置換されていないヒドロキシスチレンと、アクリレート、メタクリレート、またはアクリレートとメタクリレートとの混合物とを含むポリマー(前記アクリレート及び/またはメタクリレートは、脱ブロック化のための高い活性化エネルギーで保護されている)との混合物;及び
    e)(i)(a)ノボラックポリマー、(b)2〜7個のフェノール基を有するポリヒドロキシ化合物、及び(c)ビニルエーテル及び置換されていないかもしくは置換された複素脂肪環式類から選択された化合物の間で触媒の不在下に形成された反応生成物、(ii)置換されたもしくは置換されていないヒドロキシスチレンと、アクリレート、メタクリレート、またはアクリレートとメタクリレートとの混合物とを含むポリマー(前記アクリレート及び/またはメタクリレートは、脱ブロック化に高い活性化エネルギーを必要とする酸不安定性基で保護されている)、及び(iii)ノボラック、の混合物。
JP2016513365A 2013-05-17 2014-05-15 ポリマー型熱酸発生剤を含む組成物及びそれの方法 Expired - Fee Related JP6342993B2 (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US13/896,936 2013-05-17
US13/896,936 US9291909B2 (en) 2013-05-17 2013-05-17 Composition comprising a polymeric thermal acid generator and processes thereof
PCT/EP2014/059999 WO2014184308A1 (en) 2013-05-17 2014-05-15 A composition comprising a polymeric thermal acid generator and processes thereof

Publications (3)

Publication Number Publication Date
JP2016526183A true JP2016526183A (ja) 2016-09-01
JP2016526183A5 JP2016526183A5 (ja) 2017-04-27
JP6342993B2 JP6342993B2 (ja) 2018-06-13

Family

ID=50933134

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2016513365A Expired - Fee Related JP6342993B2 (ja) 2013-05-17 2014-05-15 ポリマー型熱酸発生剤を含む組成物及びそれの方法

Country Status (8)

Country Link
US (1) US9291909B2 (ja)
EP (1) EP2997058A1 (ja)
JP (1) JP6342993B2 (ja)
KR (1) KR20160011183A (ja)
CN (1) CN105164172B (ja)
SG (1) SG11201506923PA (ja)
TW (1) TWI617890B (ja)
WO (1) WO2014184308A1 (ja)

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2018025696A (ja) * 2016-08-10 2018-02-15 東京応化工業株式会社 レジストパターン厚肉化用ポリマー組成物、及びレジストパターン形成方法
WO2018131495A1 (ja) * 2017-01-10 2018-07-19 Jsr株式会社 カバー膜形成方法、基材の処理方法及び組成物
JP2019114792A (ja) * 2017-12-21 2019-07-11 コミサリヤ・ア・レネルジ・アトミク・エ・オ・エネルジ・アルテルナテイブ 化学的ガイディング構造を基板上に形成するための方法及び化学的エピタキシー方法

Families Citing this family (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9530662B2 (en) * 2015-02-25 2016-12-27 GlobalFoundries, Inc. Methods for fabricating integrated circuits using directed self-assembly including a substantially periodic array of topographical features that includes etch resistant topographical features for transferability control
KR102460463B1 (ko) * 2015-06-22 2022-10-31 인텔 코포레이션 BEOL(Back End of Line) 인터커넥트를 위한 상향식 가교 결합을 사용하는 유전체에 의한 이미지 톤 반전
US9530663B1 (en) * 2015-06-23 2016-12-27 Nanya Technology Corp. Method for forming a pattern
US9574104B1 (en) 2015-10-16 2017-02-21 Az Electronic Materials (Luxembourg) S.A.R.L. Compositions and processes for self-assembly of block copolymers
JP2017157632A (ja) * 2016-02-29 2017-09-07 東芝メモリ株式会社 半導体装置の製造方法及びパターン形成方法
US9869933B2 (en) * 2016-03-07 2018-01-16 Rohm And Haas Electronic Materials Llc Pattern trimming methods
US9910355B2 (en) * 2016-07-29 2018-03-06 Rohm And Haas Electronic Materials Llc Method of negative tone development using a copolymer multilayer electrolyte and articles made therefrom
JP6997764B2 (ja) 2016-08-18 2022-01-18 メルク パテント ゲゼルシャフト ミット ベシュレンクテル ハフツング 自己組織化用途用のポリマー組成物
SG10202108825RA (en) 2016-12-21 2021-09-29 Ridgefield Acquisition Novel compositions and processes for self-assembly of block copolymers
US10691023B2 (en) * 2017-08-24 2020-06-23 Taiwan Semiconductor Manufacturing Co., Ltd. Method for performing lithography process with post treatment
JPWO2019131953A1 (ja) * 2017-12-27 2020-12-24 Jsr株式会社 パターン形成方法及び感放射線性組成物
US11682559B2 (en) 2020-06-11 2023-06-20 Tokyo Electron Limited Method to form narrow slot contacts
CN113835296A (zh) * 2021-09-28 2021-12-24 之江实验室 一种飞秒激光直写光刻胶组合物

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20090081589A1 (en) * 2007-09-25 2009-03-26 Toukhy Medhat A Thick film resists
JP2011524930A (ja) * 2008-06-18 2011-09-08 エイゼット・エレクトロニック・マテリアルズ・ユーエスエイ・コーポレイション フォトレジストパターン上にコーティングするための水性組成物

Family Cites Families (25)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
BE543031A (ja) * 1954-12-29
JPS5849410A (ja) * 1981-09-19 1983-03-23 Sanyo Chem Ind Ltd カチオン性高分子凝集剤
US4491628A (en) 1982-08-23 1985-01-01 International Business Machines Corporation Positive- and negative-working resist compositions with acid generating photoinitiator and polymer with acid labile groups pendant from polymer backbone
US6130303A (en) * 1988-12-19 2000-10-10 Cytec Technology Corp. Water-soluble, highly branched polymeric microparticles
DE69125634T2 (de) 1990-01-30 1998-01-02 Wako Pure Chem Ind Ltd Chemisch verstärktes Photolack-Material
US5321110A (en) * 1992-06-29 1994-06-14 Isp Investments Inc. Cationic polymer compositions
US5843624A (en) 1996-03-08 1998-12-01 Lucent Technologies Inc. Energy-sensitive resist material and a process for device fabrication using an energy-sensitive resist material
TW329539B (en) 1996-07-05 1998-04-11 Mitsubishi Electric Corp The semiconductor device and its manufacturing method
US6808859B1 (en) 1996-12-31 2004-10-26 Hyundai Electronics Industries Co., Ltd. ArF photoresist copolymers
US5863707A (en) 1997-02-11 1999-01-26 Advanced Micro Devices, Inc. Method for producing ultra-fine interconnection features
US6849377B2 (en) 1998-09-23 2005-02-01 E. I. Du Pont De Nemours And Company Photoresists, polymers and processes for microlithography
US6790587B1 (en) 1999-05-04 2004-09-14 E. I. Du Pont De Nemours And Company Fluorinated polymers, photoresists and processes for microlithography
US6207778B1 (en) * 1999-05-07 2001-03-27 Isp Investments Inc. Conditioning/styling terpolymers
AU2001274579A1 (en) 2000-06-21 2002-01-02 Asahi Glass Company, Limited Resist composition
US6447980B1 (en) 2000-07-19 2002-09-10 Clariant Finance (Bvi) Limited Photoresist composition for deep UV and process thereof
KR100776551B1 (ko) 2001-02-09 2007-11-16 아사히 가라스 가부시키가이샤 레지스트 조성물
US6723488B2 (en) 2001-11-07 2004-04-20 Clariant Finance (Bvi) Ltd Photoresist composition for deep UV radiation containing an additive
US6916594B2 (en) 2002-12-30 2005-07-12 Hynix Semiconductor Inc. Overcoating composition for photoresist and method for forming photoresist pattern using the same
WO2007141199A2 (de) 2006-06-09 2007-12-13 Basf Se Verfahren zum schutz metallischer oberflächen vor korrosion durch fluide medien unter verwendung von ethylen und aminogruppen umfassenden copolymeren
US7745007B2 (en) 2006-10-31 2010-06-29 S.D. Warren Company Release sheets and methods of making the same
US7923200B2 (en) 2007-04-09 2011-04-12 Az Electronic Materials Usa Corp. Composition for coating over a photoresist pattern comprising a lactam
US7521094B1 (en) 2008-01-14 2009-04-21 International Business Machines Corporation Method of forming polymer features by directed self-assembly of block copolymers
ES2534193T3 (es) * 2009-12-01 2015-04-20 Lubrizol Advanced Materials, Inc. Polímeros hidrolíticamente estables para múltiples fines
US8309278B2 (en) 2010-07-07 2012-11-13 Massachusetts Institute Of Technology Guided self-assembly of block copolymer line structures for integrated circuit interconnects
US8691925B2 (en) 2011-09-23 2014-04-08 Az Electronic Materials (Luxembourg) S.A.R.L. Compositions of neutral layer for directed self assembly block copolymers and processes thereof

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20090081589A1 (en) * 2007-09-25 2009-03-26 Toukhy Medhat A Thick film resists
JP2011524930A (ja) * 2008-06-18 2011-09-08 エイゼット・エレクトロニック・マテリアルズ・ユーエスエイ・コーポレイション フォトレジストパターン上にコーティングするための水性組成物

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2018025696A (ja) * 2016-08-10 2018-02-15 東京応化工業株式会社 レジストパターン厚肉化用ポリマー組成物、及びレジストパターン形成方法
WO2018131495A1 (ja) * 2017-01-10 2018-07-19 Jsr株式会社 カバー膜形成方法、基材の処理方法及び組成物
JP2019114792A (ja) * 2017-12-21 2019-07-11 コミサリヤ・ア・レネルジ・アトミク・エ・オ・エネルジ・アルテルナテイブ 化学的ガイディング構造を基板上に形成するための方法及び化学的エピタキシー方法
JP7340331B2 (ja) 2017-12-21 2023-09-07 コミサリヤ・ア・レネルジ・アトミク・エ・オ・エネルジ・アルテルナテイブ 化学的ガイディング構造を基板上に形成するための方法及び化学的エピタキシー方法

Also Published As

Publication number Publication date
US9291909B2 (en) 2016-03-22
WO2014184308A1 (en) 2014-11-20
TWI617890B (zh) 2018-03-11
SG11201506923PA (en) 2015-09-29
TW201500859A (zh) 2015-01-01
EP2997058A1 (en) 2016-03-23
JP6342993B2 (ja) 2018-06-13
CN105164172B (zh) 2018-07-10
KR20160011183A (ko) 2016-01-29
CN105164172A (zh) 2015-12-16
US20140342290A1 (en) 2014-11-20

Similar Documents

Publication Publication Date Title
JP6342993B2 (ja) ポリマー型熱酸発生剤を含む組成物及びそれの方法
KR101829955B1 (ko) 블록 공중합체의 유도 자기조립을 위한 중성층의 조성물 및 이의 방법
CN108137313B (zh) 用于嵌段共聚物自组装的组合物和方法
TWI515767B (zh) 使用聚矽氮烷以形成反向曝光影像之硬罩製程
TWI531865B (zh) A multilayer photoresist process pattern forming method and an inorganic film forming composition for a multilayer photoresist process
JP5956370B2 (ja) 珪素含有下層膜材料及びパターン形成方法
TW200837097A (en) Polymer having antireflective properties and high carbon content, hardmask composition including the same, and process for forming a patterned material layer
US8334088B2 (en) Functionalized carbosilane polymers and photoresist compositions containing the same
US11518730B2 (en) Polymer compositions for self-assembly applications
JP2023061994A (ja) ブロックコポリマーの自己組織化のための新規組成物及び方法
TW202319412A (zh) 新型親水性釘壓mat之開發

Legal Events

Date Code Title Description
A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20170324

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20170324

A871 Explanation of circumstances concerning accelerated examination

Free format text: JAPANESE INTERMEDIATE CODE: A871

Effective date: 20170324

A975 Report on accelerated examination

Free format text: JAPANESE INTERMEDIATE CODE: A971005

Effective date: 20170411

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20170419

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20170714

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20170915

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A821

Effective date: 20170915

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20171122

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20180219

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20180509

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20180517

R150 Certificate of patent or registration of utility model

Ref document number: 6342993

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

LAPS Cancellation because of no payment of annual fees