WO2024064071A1 - Sous-couches sensibles à la cuisson pour réduire la dose à la taille d'une résine photosensible euv - Google Patents
Sous-couches sensibles à la cuisson pour réduire la dose à la taille d'une résine photosensible euv Download PDFInfo
- Publication number
- WO2024064071A1 WO2024064071A1 PCT/US2023/033020 US2023033020W WO2024064071A1 WO 2024064071 A1 WO2024064071 A1 WO 2024064071A1 US 2023033020 W US2023033020 W US 2023033020W WO 2024064071 A1 WO2024064071 A1 WO 2024064071A1
- Authority
- WO
- WIPO (PCT)
- Prior art keywords
- film
- bake
- underlayer
- sensitive
- carbon
- Prior art date
Links
- 229920002120 photoresistant polymer Polymers 0.000 title claims description 115
- 239000000758 substrate Substances 0.000 claims abstract description 219
- 239000007789 gas Substances 0.000 claims abstract description 143
- 238000003384 imaging method Methods 0.000 claims abstract description 119
- 238000000059 patterning Methods 0.000 claims abstract description 109
- 230000001590 oxidative effect Effects 0.000 claims abstract description 51
- 239000011261 inert gas Substances 0.000 claims abstract description 24
- 238000000034 method Methods 0.000 claims description 414
- 239000002243 precursor Substances 0.000 claims description 351
- 230000008569 process Effects 0.000 claims description 250
- -1 peroxy radicals Chemical class 0.000 claims description 131
- 229910052799 carbon Inorganic materials 0.000 claims description 125
- 238000000151 deposition Methods 0.000 claims description 123
- 238000012545 processing Methods 0.000 claims description 113
- OKTJSMMVPCPJKN-UHFFFAOYSA-N Carbon Chemical compound [C] OKTJSMMVPCPJKN-UHFFFAOYSA-N 0.000 claims description 108
- 125000002524 organometallic group Chemical group 0.000 claims description 92
- 230000008021 deposition Effects 0.000 claims description 90
- 230000005855 radiation Effects 0.000 claims description 90
- 229910052751 metal Inorganic materials 0.000 claims description 86
- 238000011161 development Methods 0.000 claims description 84
- 239000002184 metal Substances 0.000 claims description 84
- 239000011135 tin Substances 0.000 claims description 74
- 239000002019 doping agent Substances 0.000 claims description 71
- 239000004215 Carbon black (E152) Substances 0.000 claims description 65
- 229930195733 hydrocarbon Natural products 0.000 claims description 65
- 150000002430 hydrocarbons Chemical class 0.000 claims description 64
- 229910052760 oxygen Inorganic materials 0.000 claims description 62
- 229910052710 silicon Inorganic materials 0.000 claims description 62
- XUIMIQQOPSSXEZ-UHFFFAOYSA-N Silicon Chemical compound [Si] XUIMIQQOPSSXEZ-UHFFFAOYSA-N 0.000 claims description 53
- 239000010703 silicon Substances 0.000 claims description 52
- 239000001301 oxygen Substances 0.000 claims description 51
- IJGRMHOSHXDMSA-UHFFFAOYSA-N Atomic nitrogen Chemical compound N#N IJGRMHOSHXDMSA-UHFFFAOYSA-N 0.000 claims description 49
- QVGXLLKOCUKJST-UHFFFAOYSA-N atomic oxygen Chemical compound [O] QVGXLLKOCUKJST-UHFFFAOYSA-N 0.000 claims description 49
- 239000000203 mixture Substances 0.000 claims description 46
- 229910052739 hydrogen Inorganic materials 0.000 claims description 45
- 239000004065 semiconductor Substances 0.000 claims description 43
- 238000004519 manufacturing process Methods 0.000 claims description 40
- UGFAIRIUMAVXCW-UHFFFAOYSA-N Carbon monoxide Chemical compound [O+]#[C-] UGFAIRIUMAVXCW-UHFFFAOYSA-N 0.000 claims description 39
- CURLTUGMZLYLDI-UHFFFAOYSA-N Carbon dioxide Chemical compound O=C=O CURLTUGMZLYLDI-UHFFFAOYSA-N 0.000 claims description 38
- 239000000460 chlorine Substances 0.000 claims description 36
- 239000001257 hydrogen Substances 0.000 claims description 36
- 238000000231 atomic layer deposition Methods 0.000 claims description 35
- 229910052740 iodine Inorganic materials 0.000 claims description 35
- PORWMNRCUJJQNO-UHFFFAOYSA-N tellurium atom Chemical compound [Te] PORWMNRCUJJQNO-UHFFFAOYSA-N 0.000 claims description 34
- 229910052757 nitrogen Inorganic materials 0.000 claims description 33
- 238000000623 plasma-assisted chemical vapour deposition Methods 0.000 claims description 33
- 229910052714 tellurium Inorganic materials 0.000 claims description 33
- 229910052721 tungsten Inorganic materials 0.000 claims description 33
- 230000001965 increasing effect Effects 0.000 claims description 32
- 238000010438 heat treatment Methods 0.000 claims description 31
- 229910052718 tin Inorganic materials 0.000 claims description 29
- 150000001721 carbon Chemical class 0.000 claims description 28
- LFQSCWFLJHTTHZ-UHFFFAOYSA-N Ethanol Chemical compound CCO LFQSCWFLJHTTHZ-UHFFFAOYSA-N 0.000 claims description 27
- 229910052801 chlorine Inorganic materials 0.000 claims description 27
- 125000002887 hydroxy group Chemical group [H]O* 0.000 claims description 27
- 239000011630 iodine Substances 0.000 claims description 27
- 230000003993 interaction Effects 0.000 claims description 26
- 239000010937 tungsten Substances 0.000 claims description 26
- ZCYVEMRRCGMTRW-UHFFFAOYSA-N 7553-56-2 Chemical compound [I] ZCYVEMRRCGMTRW-UHFFFAOYSA-N 0.000 claims description 25
- WFKWXMTUELFFGS-UHFFFAOYSA-N tungsten Chemical compound [W] WFKWXMTUELFFGS-UHFFFAOYSA-N 0.000 claims description 25
- VYPSYNLAJGMNEJ-UHFFFAOYSA-N Silicium dioxide Chemical compound O=[Si]=O VYPSYNLAJGMNEJ-UHFFFAOYSA-N 0.000 claims description 24
- 229910002091 carbon monoxide Inorganic materials 0.000 claims description 24
- 229910002092 carbon dioxide Inorganic materials 0.000 claims description 23
- BASFCYQUMIYNBI-UHFFFAOYSA-N platinum Chemical compound [Pt] BASFCYQUMIYNBI-UHFFFAOYSA-N 0.000 claims description 23
- KDLHZDBZIXYQEI-UHFFFAOYSA-N Palladium Chemical compound [Pd] KDLHZDBZIXYQEI-UHFFFAOYSA-N 0.000 claims description 22
- 229910052797 bismuth Inorganic materials 0.000 claims description 22
- 239000001569 carbon dioxide Substances 0.000 claims description 22
- ATJFFYVFTNAWJD-UHFFFAOYSA-N Tin Chemical compound [Sn] ATJFFYVFTNAWJD-UHFFFAOYSA-N 0.000 claims description 21
- 229910052787 antimony Inorganic materials 0.000 claims description 21
- JCXGWMGPZLAOME-UHFFFAOYSA-N bismuth atom Chemical compound [Bi] JCXGWMGPZLAOME-UHFFFAOYSA-N 0.000 claims description 21
- 230000003247 decreasing effect Effects 0.000 claims description 21
- 238000011282 treatment Methods 0.000 claims description 21
- WATWJIUSRGPENY-UHFFFAOYSA-N antimony atom Chemical compound [Sb] WATWJIUSRGPENY-UHFFFAOYSA-N 0.000 claims description 20
- 229910052796 boron Inorganic materials 0.000 claims description 20
- 229910052731 fluorine Inorganic materials 0.000 claims description 20
- 229910052736 halogen Inorganic materials 0.000 claims description 19
- 150000002367 halogens Chemical class 0.000 claims description 18
- ZAMOUSCENKQFHK-UHFFFAOYSA-N Chlorine atom Chemical compound [Cl] ZAMOUSCENKQFHK-UHFFFAOYSA-N 0.000 claims description 16
- RTZKZFJDLAIYFH-UHFFFAOYSA-N Diethyl ether Chemical compound CCOCC RTZKZFJDLAIYFH-UHFFFAOYSA-N 0.000 claims description 16
- UFHFLCQGNIYNRP-UHFFFAOYSA-N Hydrogen Chemical compound [H][H] UFHFLCQGNIYNRP-UHFFFAOYSA-N 0.000 claims description 16
- 229910052794 bromium Inorganic materials 0.000 claims description 16
- 238000004132 cross linking Methods 0.000 claims description 16
- 229910052738 indium Inorganic materials 0.000 claims description 16
- APFVFJFRJDLVQX-UHFFFAOYSA-N indium atom Chemical compound [In] APFVFJFRJDLVQX-UHFFFAOYSA-N 0.000 claims description 16
- 239000000126 substance Substances 0.000 claims description 16
- 238000007740 vapor deposition Methods 0.000 claims description 16
- 229910003481 amorphous carbon Inorganic materials 0.000 claims description 15
- XOLBLPGZBRYERU-UHFFFAOYSA-N tin dioxide Chemical compound O=[Sn]=O XOLBLPGZBRYERU-UHFFFAOYSA-N 0.000 claims description 15
- ARXJGSRGQADJSQ-UHFFFAOYSA-N 1-methoxypropan-2-ol Chemical compound COCC(C)O ARXJGSRGQADJSQ-UHFFFAOYSA-N 0.000 claims description 14
- XKRFYHLGVUSROY-UHFFFAOYSA-N Argon Chemical compound [Ar] XKRFYHLGVUSROY-UHFFFAOYSA-N 0.000 claims description 14
- ZOXJGFHDIHLPTG-UHFFFAOYSA-N Boron Chemical compound [B] ZOXJGFHDIHLPTG-UHFFFAOYSA-N 0.000 claims description 14
- 238000004528 spin coating Methods 0.000 claims description 14
- WGTYBPLFGIVFAS-UHFFFAOYSA-M tetramethylammonium hydroxide Chemical compound [OH-].C[N+](C)(C)C WGTYBPLFGIVFAS-UHFFFAOYSA-M 0.000 claims description 14
- MHAJPDPJQMAIIY-UHFFFAOYSA-N Hydrogen peroxide Chemical compound OO MHAJPDPJQMAIIY-UHFFFAOYSA-N 0.000 claims description 13
- XLYOFNOQVPJJNP-UHFFFAOYSA-N water Substances O XLYOFNOQVPJJNP-UHFFFAOYSA-N 0.000 claims description 13
- 229910001868 water Inorganic materials 0.000 claims description 13
- BQCADISMDOOEFD-UHFFFAOYSA-N Silver Chemical compound [Ag] BQCADISMDOOEFD-UHFFFAOYSA-N 0.000 claims description 12
- 125000003178 carboxy group Chemical group [H]OC(*)=O 0.000 claims description 12
- 229910052734 helium Inorganic materials 0.000 claims description 12
- CATSNJVOTSVZJV-UHFFFAOYSA-N heptan-2-one Chemical compound CCCCCC(C)=O CATSNJVOTSVZJV-UHFFFAOYSA-N 0.000 claims description 12
- 150000002576 ketones Chemical class 0.000 claims description 12
- 229910052814 silicon oxide Inorganic materials 0.000 claims description 12
- 229910052709 silver Inorganic materials 0.000 claims description 12
- 239000004332 silver Substances 0.000 claims description 12
- KJTLSVCANCCWHF-UHFFFAOYSA-N Ruthenium Chemical compound [Ru] KJTLSVCANCCWHF-UHFFFAOYSA-N 0.000 claims description 11
- 229910052786 argon Inorganic materials 0.000 claims description 11
- PCHJSUWPFVWCPO-UHFFFAOYSA-N gold Chemical compound [Au] PCHJSUWPFVWCPO-UHFFFAOYSA-N 0.000 claims description 11
- 229910052737 gold Inorganic materials 0.000 claims description 11
- 239000010931 gold Substances 0.000 claims description 11
- PNDPGZBMCMUPRI-UHFFFAOYSA-N iodine Chemical compound II PNDPGZBMCMUPRI-UHFFFAOYSA-N 0.000 claims description 11
- 229910052762 osmium Inorganic materials 0.000 claims description 11
- SYQBFIAQOQZEGI-UHFFFAOYSA-N osmium atom Chemical compound [Os] SYQBFIAQOQZEGI-UHFFFAOYSA-N 0.000 claims description 11
- 239000007800 oxidant agent Substances 0.000 claims description 11
- 229910052763 palladium Inorganic materials 0.000 claims description 11
- 229910052697 platinum Inorganic materials 0.000 claims description 11
- 229910052703 rhodium Inorganic materials 0.000 claims description 11
- 239000010948 rhodium Substances 0.000 claims description 11
- MHOVAHRLVXNVSD-UHFFFAOYSA-N rhodium atom Chemical compound [Rh] MHOVAHRLVXNVSD-UHFFFAOYSA-N 0.000 claims description 11
- 229910052707 ruthenium Inorganic materials 0.000 claims description 11
- MWUXSHHQAYIFBG-UHFFFAOYSA-N Nitric oxide Chemical compound O=[N] MWUXSHHQAYIFBG-UHFFFAOYSA-N 0.000 claims description 10
- CBENFWSGALASAD-UHFFFAOYSA-N Ozone Chemical compound [O-][O+]=O CBENFWSGALASAD-UHFFFAOYSA-N 0.000 claims description 10
- RTAQQCXQSZGOHL-UHFFFAOYSA-N Titanium Chemical compound [Ti] RTAQQCXQSZGOHL-UHFFFAOYSA-N 0.000 claims description 10
- 229910052741 iridium Inorganic materials 0.000 claims description 10
- GKOZUEZYRPOHIO-UHFFFAOYSA-N iridium atom Chemical compound [Ir] GKOZUEZYRPOHIO-UHFFFAOYSA-N 0.000 claims description 10
- 229910052719 titanium Inorganic materials 0.000 claims description 10
- 239000010936 titanium Substances 0.000 claims description 10
- 229910052581 Si3N4 Inorganic materials 0.000 claims description 9
- GDTBXPJZTBHREO-UHFFFAOYSA-N bromine Substances BrBr GDTBXPJZTBHREO-UHFFFAOYSA-N 0.000 claims description 9
- 239000011737 fluorine Substances 0.000 claims description 9
- 229910001887 tin oxide Inorganic materials 0.000 claims description 9
- 229920002554 vinyl polymer Polymers 0.000 claims description 9
- 230000004913 activation Effects 0.000 claims description 8
- 230000015572 biosynthetic process Effects 0.000 claims description 8
- 239000001307 helium Substances 0.000 claims description 8
- SWQJXJOGLNCZEY-UHFFFAOYSA-N helium atom Chemical compound [He] SWQJXJOGLNCZEY-UHFFFAOYSA-N 0.000 claims description 8
- HQVNEWCFYHHQES-UHFFFAOYSA-N silicon nitride Chemical compound N12[Si]34N5[Si]62N3[Si]51N64 HQVNEWCFYHHQES-UHFFFAOYSA-N 0.000 claims description 8
- YCKRFDGAMUMZLT-UHFFFAOYSA-N Fluorine atom Chemical compound [F] YCKRFDGAMUMZLT-UHFFFAOYSA-N 0.000 claims description 7
- 150000001336 alkenes Chemical class 0.000 claims description 7
- 150000001345 alkine derivatives Chemical class 0.000 claims description 7
- LLHKCFNBLRBOGN-UHFFFAOYSA-N propylene glycol methyl ether acetate Chemical compound COCC(C)OC(C)=O LLHKCFNBLRBOGN-UHFFFAOYSA-N 0.000 claims description 7
- QGZKDVFQNNGYKY-UHFFFAOYSA-O Ammonium Chemical compound [NH4+] QGZKDVFQNNGYKY-UHFFFAOYSA-O 0.000 claims description 6
- WKBOTKDWSSQWDR-UHFFFAOYSA-N Bromine atom Chemical compound [Br] WKBOTKDWSSQWDR-UHFFFAOYSA-N 0.000 claims description 6
- 150000001335 aliphatic alkanes Chemical class 0.000 claims description 6
- 229910021417 amorphous silicon Inorganic materials 0.000 claims description 6
- 229910052743 krypton Inorganic materials 0.000 claims description 6
- DNNSSWSSYDEUBZ-UHFFFAOYSA-N krypton atom Chemical compound [Kr] DNNSSWSSYDEUBZ-UHFFFAOYSA-N 0.000 claims description 6
- 229910052754 neon Inorganic materials 0.000 claims description 6
- GKAOGPIIYCISHV-UHFFFAOYSA-N neon atom Chemical compound [Ne] GKAOGPIIYCISHV-UHFFFAOYSA-N 0.000 claims description 6
- 125000000864 peroxy group Chemical group O(O*)* 0.000 claims description 6
- 229910021420 polycrystalline silicon Inorganic materials 0.000 claims description 6
- MGWGWNFMUOTEHG-UHFFFAOYSA-N 4-(3,5-dimethylphenyl)-1,3-thiazol-2-amine Chemical compound CC1=CC(C)=CC(C=2N=C(N)SC=2)=C1 MGWGWNFMUOTEHG-UHFFFAOYSA-N 0.000 claims description 5
- MTHSVFCYNBDYFN-UHFFFAOYSA-N diethylene glycol Chemical compound OCCOCCO MTHSVFCYNBDYFN-UHFFFAOYSA-N 0.000 claims description 5
- 239000002608 ionic liquid Substances 0.000 claims description 5
- JCXJVPUVTGWSNB-UHFFFAOYSA-N nitrogen dioxide Inorganic materials O=[N]=O JCXJVPUVTGWSNB-UHFFFAOYSA-N 0.000 claims description 5
- 150000007524 organic acids Chemical class 0.000 claims description 5
- 229920005591 polysilicon Polymers 0.000 claims description 5
- 229910052704 radon Inorganic materials 0.000 claims description 5
- SYUHGPGVQRZVTB-UHFFFAOYSA-N radon atom Chemical compound [Rn] SYUHGPGVQRZVTB-UHFFFAOYSA-N 0.000 claims description 5
- HBMJWWWQQXIZIP-UHFFFAOYSA-N silicon carbide Chemical compound [Si+]#[C-] HBMJWWWQQXIZIP-UHFFFAOYSA-N 0.000 claims description 5
- 229910010271 silicon carbide Inorganic materials 0.000 claims description 5
- 229910000059 tellane Inorganic materials 0.000 claims description 5
- 229910052724 xenon Inorganic materials 0.000 claims description 5
- FHNFHKCVQCLJFQ-UHFFFAOYSA-N xenon atom Chemical compound [Xe] FHNFHKCVQCLJFQ-UHFFFAOYSA-N 0.000 claims description 5
- AUYOHNUMSAGWQZ-UHFFFAOYSA-L dihydroxy(oxo)tin Chemical compound O[Sn](O)=O AUYOHNUMSAGWQZ-UHFFFAOYSA-L 0.000 claims description 4
- XMBWDFGMSWQBCA-UHFFFAOYSA-N hydrogen iodide Chemical compound I XMBWDFGMSWQBCA-UHFFFAOYSA-N 0.000 claims description 4
- 229910000043 hydrogen iodide Inorganic materials 0.000 claims description 4
- QJGQUHMNIGDVPM-UHFFFAOYSA-N nitrogen group Chemical group [N] QJGQUHMNIGDVPM-UHFFFAOYSA-N 0.000 claims description 4
- 238000002791 soaking Methods 0.000 claims description 4
- 206010073306 Exposure to radiation Diseases 0.000 claims description 3
- 229910000072 bismuth hydride Inorganic materials 0.000 claims description 3
- BPBOBPIKWGUSQG-UHFFFAOYSA-N bismuthane Chemical compound [BiH3] BPBOBPIKWGUSQG-UHFFFAOYSA-N 0.000 claims description 3
- OUULRIDHGPHMNQ-UHFFFAOYSA-N stibane Chemical compound [SbH3] OUULRIDHGPHMNQ-UHFFFAOYSA-N 0.000 claims description 3
- 150000002431 hydrogen Chemical class 0.000 claims 4
- 238000001947 vapour-phase growth Methods 0.000 claims 1
- 238000001900 extreme ultraviolet lithography Methods 0.000 abstract description 20
- 239000010408 film Substances 0.000 description 265
- 239000010410 layer Substances 0.000 description 153
- 210000002381 plasma Anatomy 0.000 description 111
- 235000012431 wafers Nutrition 0.000 description 94
- 239000000463 material Substances 0.000 description 91
- 230000018109 developmental process Effects 0.000 description 70
- 125000000217 alkyl group Chemical group 0.000 description 67
- 239000000376 reactant Substances 0.000 description 55
- 239000003446 ligand Substances 0.000 description 46
- 125000003118 aryl group Chemical group 0.000 description 37
- 125000000547 substituted alkyl group Chemical group 0.000 description 37
- 125000004429 atom Chemical group 0.000 description 34
- 238000009616 inductively coupled plasma Methods 0.000 description 30
- 229910044991 metal oxide Inorganic materials 0.000 description 30
- 125000005843 halogen group Chemical group 0.000 description 29
- 150000004706 metal oxides Chemical class 0.000 description 29
- 238000012546 transfer Methods 0.000 description 26
- 238000006243 chemical reaction Methods 0.000 description 23
- VNWKTOKETHGBQD-UHFFFAOYSA-N methane Chemical compound C VNWKTOKETHGBQD-UHFFFAOYSA-N 0.000 description 23
- 125000002924 primary amino group Chemical group [H]N([H])* 0.000 description 23
- 125000000999 tert-butyl group Chemical group [H]C([H])([H])C(*)(C([H])([H])[H])C([H])([H])[H] 0.000 description 23
- 125000000623 heterocyclic group Chemical group 0.000 description 21
- 125000004432 carbon atom Chemical group C* 0.000 description 20
- 239000003795 chemical substances by application Substances 0.000 description 20
- 238000005229 chemical vapour deposition Methods 0.000 description 19
- 125000002147 dimethylamino group Chemical group [H]C([H])([H])N(*)C([H])([H])[H] 0.000 description 19
- 125000005842 heteroatom Chemical group 0.000 description 19
- 125000004435 hydrogen atom Chemical class [H]* 0.000 description 19
- 125000003107 substituted aryl group Chemical group 0.000 description 19
- 238000010521 absorption reaction Methods 0.000 description 18
- NJPPVKZQTLUDBO-UHFFFAOYSA-N novaluron Chemical compound C1=C(Cl)C(OC(F)(F)C(OC(F)(F)F)F)=CC=C1NC(=O)NC(=O)C1=C(F)C=CC=C1F NJPPVKZQTLUDBO-UHFFFAOYSA-N 0.000 description 18
- UHOVQNZJYSORNB-UHFFFAOYSA-N Benzene Chemical compound C1=CC=CC=C1 UHOVQNZJYSORNB-UHFFFAOYSA-N 0.000 description 17
- 230000001276 controlling effect Effects 0.000 description 17
- 125000001449 isopropyl group Chemical group [H]C([H])([H])C([H])(*)C([H])([H])[H] 0.000 description 17
- 125000004122 cyclic group Chemical group 0.000 description 16
- 150000002500 ions Chemical class 0.000 description 16
- 230000001976 improved effect Effects 0.000 description 15
- 125000002496 methyl group Chemical group [H]C([H])([H])* 0.000 description 15
- QGZKDVFQNNGYKY-UHFFFAOYSA-N Ammonia Chemical compound N QGZKDVFQNNGYKY-UHFFFAOYSA-N 0.000 description 14
- 125000002947 alkylene group Chemical group 0.000 description 13
- 125000001183 hydrocarbyl group Chemical group 0.000 description 13
- KFZMGEQAYNKOFK-UHFFFAOYSA-N Isopropanol Chemical compound CC(C)O KFZMGEQAYNKOFK-UHFFFAOYSA-N 0.000 description 12
- 229910006854 SnOx Inorganic materials 0.000 description 12
- 125000003545 alkoxy group Chemical group 0.000 description 12
- 150000001875 compounds Chemical class 0.000 description 12
- 238000005516 engineering process Methods 0.000 description 12
- 238000001459 lithography Methods 0.000 description 12
- 230000007246 mechanism Effects 0.000 description 12
- 125000001424 substituent group Chemical group 0.000 description 12
- 238000006467 substitution reaction Methods 0.000 description 12
- 238000005530 etching Methods 0.000 description 11
- 125000001495 ethyl group Chemical group [H]C([H])([H])C([H])([H])* 0.000 description 11
- IXCSERBJSXMMFS-UHFFFAOYSA-N hydrogen chloride Substances Cl.Cl IXCSERBJSXMMFS-UHFFFAOYSA-N 0.000 description 11
- 125000004108 n-butyl group Chemical group [H]C([H])([H])C([H])([H])C([H])([H])C([H])([H])* 0.000 description 11
- 239000012071 phase Substances 0.000 description 11
- 125000004400 (C1-C12) alkyl group Chemical group 0.000 description 10
- 125000004423 acyloxy group Chemical group 0.000 description 10
- HSFWRNGVRCDJHI-UHFFFAOYSA-N alpha-acetylene Natural products C#C HSFWRNGVRCDJHI-UHFFFAOYSA-N 0.000 description 10
- 230000008859 change Effects 0.000 description 10
- 238000005137 deposition process Methods 0.000 description 10
- 230000006870 function Effects 0.000 description 10
- QPBYLOWPSRZOFX-UHFFFAOYSA-J tin(iv) iodide Chemical compound I[Sn](I)(I)I QPBYLOWPSRZOFX-UHFFFAOYSA-J 0.000 description 10
- 238000001157 Fourier transform infrared spectrum Methods 0.000 description 9
- XYFCBTPGUUZFHI-UHFFFAOYSA-N Phosphine Chemical compound P XYFCBTPGUUZFHI-UHFFFAOYSA-N 0.000 description 9
- 125000003342 alkenyl group Chemical group 0.000 description 9
- 125000000732 arylene group Chemical group 0.000 description 9
- 230000008901 benefit Effects 0.000 description 9
- 238000000469 dry deposition Methods 0.000 description 9
- 150000004820 halides Chemical class 0.000 description 9
- 150000002739 metals Chemical class 0.000 description 9
- 238000005240 physical vapour deposition Methods 0.000 description 9
- 125000000304 alkynyl group Chemical group 0.000 description 8
- 238000013459 approach Methods 0.000 description 8
- BDAGIHXWWSANSR-UHFFFAOYSA-N methanoic acid Chemical class OC=O BDAGIHXWWSANSR-UHFFFAOYSA-N 0.000 description 8
- 238000002156 mixing Methods 0.000 description 8
- 238000012986 modification Methods 0.000 description 8
- 230000004048 modification Effects 0.000 description 8
- 125000004433 nitrogen atom Chemical group N* 0.000 description 8
- 229920006395 saturated elastomer Polymers 0.000 description 8
- 125000002914 sec-butyl group Chemical group [H]C([H])([H])C([H])([H])C([H])(*)C([H])([H])[H] 0.000 description 8
- 229910052717 sulfur Inorganic materials 0.000 description 8
- FAQYAMRNWDIXMY-UHFFFAOYSA-N trichloroborane Chemical compound ClB(Cl)Cl FAQYAMRNWDIXMY-UHFFFAOYSA-N 0.000 description 8
- 125000004169 (C1-C6) alkyl group Chemical group 0.000 description 7
- HIXDQWDOVZUNNA-UHFFFAOYSA-N 2-(3,4-dimethoxyphenyl)-5-hydroxy-7-methoxychromen-4-one Chemical compound C=1C(OC)=CC(O)=C(C(C=2)=O)C=1OC=2C1=CC=C(OC)C(OC)=C1 HIXDQWDOVZUNNA-UHFFFAOYSA-N 0.000 description 7
- 125000000392 cycloalkenyl group Chemical group 0.000 description 7
- 230000001419 dependent effect Effects 0.000 description 7
- NZZFYRREKKOMAT-UHFFFAOYSA-N diiodomethane Chemical compound ICI NZZFYRREKKOMAT-UHFFFAOYSA-N 0.000 description 7
- ZSWFCLXCOIISFI-UHFFFAOYSA-N endo-cyclopentadiene Natural products C1C=CC=C1 ZSWFCLXCOIISFI-UHFFFAOYSA-N 0.000 description 7
- 125000000959 isobutyl group Chemical group [H]C([H])([H])C([H])(C([H])([H])[H])C([H])([H])* 0.000 description 7
- 239000007788 liquid Substances 0.000 description 7
- 229910001507 metal halide Inorganic materials 0.000 description 7
- 150000005309 metal halides Chemical class 0.000 description 7
- 125000001971 neopentyl group Chemical group [H]C([*])([H])C(C([H])([H])[H])(C([H])([H])[H])C([H])([H])[H] 0.000 description 7
- 150000003254 radicals Chemical class 0.000 description 7
- 230000035945 sensitivity Effects 0.000 description 7
- 239000002904 solvent Substances 0.000 description 7
- 239000011593 sulfur Substances 0.000 description 7
- 125000004665 trialkylsilyl group Chemical group 0.000 description 7
- 229930195735 unsaturated hydrocarbon Natural products 0.000 description 7
- WEVYAHXRMPXWCK-UHFFFAOYSA-N Acetonitrile Chemical compound CC#N WEVYAHXRMPXWCK-UHFFFAOYSA-N 0.000 description 6
- 238000005033 Fourier transform infrared spectroscopy Methods 0.000 description 6
- OAKJQQAXSVQMHS-UHFFFAOYSA-N Hydrazine Chemical compound NN OAKJQQAXSVQMHS-UHFFFAOYSA-N 0.000 description 6
- NINIDFKCEFEMDL-UHFFFAOYSA-N Sulfur Chemical compound [S] NINIDFKCEFEMDL-UHFFFAOYSA-N 0.000 description 6
- YXFVVABEGXRONW-UHFFFAOYSA-N Toluene Chemical compound CC1=CC=CC=C1 YXFVVABEGXRONW-UHFFFAOYSA-N 0.000 description 6
- 150000001412 amines Chemical class 0.000 description 6
- 125000000058 cyclopentadienyl group Chemical group C1(=CC=CC1)* 0.000 description 6
- 230000000694 effects Effects 0.000 description 6
- 125000004474 heteroalkylene group Chemical group 0.000 description 6
- BHEPBYXIRTUNPN-UHFFFAOYSA-N hydridophosphorus(.) (triplet) Chemical compound [PH] BHEPBYXIRTUNPN-UHFFFAOYSA-N 0.000 description 6
- 229910000069 nitrogen hydride Inorganic materials 0.000 description 6
- 125000004043 oxo group Chemical group O=* 0.000 description 6
- 238000010926 purge Methods 0.000 description 6
- 230000009467 reduction Effects 0.000 description 6
- 238000011160 research Methods 0.000 description 6
- FZHAPNGMFPVSLP-UHFFFAOYSA-N silanamine Chemical class [SiH3]N FZHAPNGMFPVSLP-UHFFFAOYSA-N 0.000 description 6
- 239000000377 silicon dioxide Substances 0.000 description 6
- VDZOOKBUILJEDG-UHFFFAOYSA-M tetrabutylammonium hydroxide Chemical compound [OH-].CCCC[N+](CCCC)(CCCC)CCCC VDZOOKBUILJEDG-UHFFFAOYSA-M 0.000 description 6
- 239000010409 thin film Substances 0.000 description 6
- YMWUJEATGCHHMB-UHFFFAOYSA-N Dichloromethane Chemical compound ClCCl YMWUJEATGCHHMB-UHFFFAOYSA-N 0.000 description 5
- ROSDSFDQCJNGOL-UHFFFAOYSA-N Dimethylamine Chemical compound CNC ROSDSFDQCJNGOL-UHFFFAOYSA-N 0.000 description 5
- 229910021627 Tin(IV) chloride Inorganic materials 0.000 description 5
- 125000002015 acyclic group Chemical group 0.000 description 5
- 239000003570 air Substances 0.000 description 5
- 150000001298 alcohols Chemical class 0.000 description 5
- 125000004450 alkenylene group Chemical group 0.000 description 5
- 125000004419 alkynylene group Chemical group 0.000 description 5
- 238000000576 coating method Methods 0.000 description 5
- 125000002534 ethynyl group Chemical group [H]C#C* 0.000 description 5
- 239000012530 fluid Substances 0.000 description 5
- INQOMBQAUSQDDS-UHFFFAOYSA-N iodomethane Chemical compound IC INQOMBQAUSQDDS-UHFFFAOYSA-N 0.000 description 5
- 125000004123 n-propyl group Chemical group [H]C([H])([H])C([H])([H])C([H])([H])* 0.000 description 5
- 230000007935 neutral effect Effects 0.000 description 5
- 125000004430 oxygen atom Chemical group O* 0.000 description 5
- 229930195734 saturated hydrocarbon Natural products 0.000 description 5
- 235000012239 silicon dioxide Nutrition 0.000 description 5
- 238000004544 sputter deposition Methods 0.000 description 5
- 125000005415 substituted alkoxy group Chemical group 0.000 description 5
- HPGGPRDJHPYFRM-UHFFFAOYSA-J tin(iv) chloride Chemical compound Cl[Sn](Cl)(Cl)Cl HPGGPRDJHPYFRM-UHFFFAOYSA-J 0.000 description 5
- NXHILIPIEUBEPD-UHFFFAOYSA-H tungsten hexafluoride Chemical compound F[W](F)(F)(F)(F)F NXHILIPIEUBEPD-UHFFFAOYSA-H 0.000 description 5
- 230000008016 vaporization Effects 0.000 description 5
- 125000004642 (C1-C12) alkoxy group Chemical group 0.000 description 4
- 125000006552 (C3-C8) cycloalkyl group Chemical group 0.000 description 4
- OSWFIVFLDKOXQC-UHFFFAOYSA-N 4-(3-methoxyphenyl)aniline Chemical class COC1=CC=CC(C=2C=CC(N)=CC=2)=C1 OSWFIVFLDKOXQC-UHFFFAOYSA-N 0.000 description 4
- BUGBHKTXTAQXES-UHFFFAOYSA-N Selenium Chemical compound [Se] BUGBHKTXTAQXES-UHFFFAOYSA-N 0.000 description 4
- DTQVDTLACAAQTR-UHFFFAOYSA-N Trifluoroacetic acid Chemical compound OC(=O)C(F)(F)F DTQVDTLACAAQTR-UHFFFAOYSA-N 0.000 description 4
- CUJRVFIICFDLGR-UHFFFAOYSA-N acetylacetonate Chemical compound CC(=O)[CH-]C(C)=O CUJRVFIICFDLGR-UHFFFAOYSA-N 0.000 description 4
- YRKCREAYFQTBPV-UHFFFAOYSA-N acetylacetone Chemical compound CC(=O)CC(C)=O YRKCREAYFQTBPV-UHFFFAOYSA-N 0.000 description 4
- 230000002378 acidificating effect Effects 0.000 description 4
- 125000001931 aliphatic group Chemical group 0.000 description 4
- 150000008064 anhydrides Chemical group 0.000 description 4
- 230000009286 beneficial effect Effects 0.000 description 4
- ILAHWRKJUDSMFH-UHFFFAOYSA-N boron tribromide Chemical compound BrB(Br)Br ILAHWRKJUDSMFH-UHFFFAOYSA-N 0.000 description 4
- WTEOIRVLGSZEPR-UHFFFAOYSA-N boron trifluoride Chemical compound FB(F)F WTEOIRVLGSZEPR-UHFFFAOYSA-N 0.000 description 4
- NEHMKBQYUWJMIP-UHFFFAOYSA-N chloromethane Chemical compound ClC NEHMKBQYUWJMIP-UHFFFAOYSA-N 0.000 description 4
- 239000011248 coating agent Substances 0.000 description 4
- 125000000753 cycloalkyl group Chemical group 0.000 description 4
- 125000000113 cyclohexyl group Chemical group [H]C1([H])C([H])([H])C([H])([H])C([H])(*)C([H])([H])C1([H])[H] 0.000 description 4
- 125000004663 dialkyl amino group Chemical group 0.000 description 4
- YMUZFVVKDBZHGP-UHFFFAOYSA-N dimethyl telluride Chemical compound C[Te]C YMUZFVVKDBZHGP-UHFFFAOYSA-N 0.000 description 4
- 235000019253 formic acid Nutrition 0.000 description 4
- 125000001188 haloalkyl group Chemical group 0.000 description 4
- 229910000073 phosphorus hydride Inorganic materials 0.000 description 4
- XHXFXVLFKHQFAL-UHFFFAOYSA-N phosphoryl trichloride Chemical compound ClP(Cl)(Cl)=O XHXFXVLFKHQFAL-UHFFFAOYSA-N 0.000 description 4
- 238000000206 photolithography Methods 0.000 description 4
- 229910052711 selenium Inorganic materials 0.000 description 4
- 239000011669 selenium Substances 0.000 description 4
- 238000003860 storage Methods 0.000 description 4
- 230000002195 synergetic effect Effects 0.000 description 4
- 239000012808 vapor phase Substances 0.000 description 4
- 238000009834 vaporization Methods 0.000 description 4
- HZAXFHJVJLSVMW-UHFFFAOYSA-N 2-Aminoethan-1-ol Chemical compound NCCO HZAXFHJVJLSVMW-UHFFFAOYSA-N 0.000 description 3
- QTBSBXVTEAMEQO-UHFFFAOYSA-M Acetate Chemical compound CC([O-])=O QTBSBXVTEAMEQO-UHFFFAOYSA-M 0.000 description 3
- QTBSBXVTEAMEQO-UHFFFAOYSA-N Acetic acid Chemical compound CC(O)=O QTBSBXVTEAMEQO-UHFFFAOYSA-N 0.000 description 3
- VHUUQVKOLVNVRT-UHFFFAOYSA-N Ammonium hydroxide Chemical compound [NH4+].[OH-] VHUUQVKOLVNVRT-UHFFFAOYSA-N 0.000 description 3
- KZBUYRJDOAKODT-UHFFFAOYSA-N Chlorine Chemical compound ClCl KZBUYRJDOAKODT-UHFFFAOYSA-N 0.000 description 3
- XDTMQSROBMDMFD-UHFFFAOYSA-N Cyclohexane Chemical compound C1CCCCC1 XDTMQSROBMDMFD-UHFFFAOYSA-N 0.000 description 3
- LYCAIKOWRPUZTN-UHFFFAOYSA-N Ethylene glycol Chemical compound OCCO LYCAIKOWRPUZTN-UHFFFAOYSA-N 0.000 description 3
- CPELXLSAUQHCOX-UHFFFAOYSA-N Hydrogen bromide Chemical compound Br CPELXLSAUQHCOX-UHFFFAOYSA-N 0.000 description 3
- OKKJLVBELUTLKV-UHFFFAOYSA-N Methanol Chemical compound OC OKKJLVBELUTLKV-UHFFFAOYSA-N 0.000 description 3
- PXHVJJICTQNCMI-UHFFFAOYSA-N Nickel Chemical compound [Ni] PXHVJJICTQNCMI-UHFFFAOYSA-N 0.000 description 3
- ATUOYWHBWRKTHZ-UHFFFAOYSA-N Propane Chemical compound CCC ATUOYWHBWRKTHZ-UHFFFAOYSA-N 0.000 description 3
- BLRPTPMANUNPDV-UHFFFAOYSA-N Silane Chemical compound [SiH4] BLRPTPMANUNPDV-UHFFFAOYSA-N 0.000 description 3
- WYURNTSHIVDZCO-UHFFFAOYSA-N Tetrahydrofuran Chemical compound C1CCOC1 WYURNTSHIVDZCO-UHFFFAOYSA-N 0.000 description 3
- 229910021623 Tin(IV) bromide Inorganic materials 0.000 description 3
- GWEVSGVZZGPLCZ-UHFFFAOYSA-N Titan oxide Chemical compound O=[Ti]=O GWEVSGVZZGPLCZ-UHFFFAOYSA-N 0.000 description 3
- 239000007983 Tris buffer Substances 0.000 description 3
- 125000000129 anionic group Chemical group 0.000 description 3
- FAPDDOBMIUGHIN-UHFFFAOYSA-K antimony trichloride Chemical compound Cl[Sb](Cl)Cl FAPDDOBMIUGHIN-UHFFFAOYSA-K 0.000 description 3
- 150000001491 aromatic compounds Chemical class 0.000 description 3
- 238000006664 bond formation reaction Methods 0.000 description 3
- 239000006227 byproduct Substances 0.000 description 3
- 125000002915 carbonyl group Chemical group [*:2]C([*:1])=O 0.000 description 3
- VEZNCHDBSQWUHQ-UHFFFAOYSA-N chlorocyclopropane Chemical compound ClC1CC1 VEZNCHDBSQWUHQ-UHFFFAOYSA-N 0.000 description 3
- KOPOQZFJUQMUML-UHFFFAOYSA-N chlorosilane Chemical class Cl[SiH3] KOPOQZFJUQMUML-UHFFFAOYSA-N 0.000 description 3
- KRKNYBCHXYNGOX-UHFFFAOYSA-N citric acid Chemical compound OC(=O)CC(O)(C(O)=O)CC(O)=O KRKNYBCHXYNGOX-UHFFFAOYSA-N 0.000 description 3
- 238000004140 cleaning Methods 0.000 description 3
- 229910052681 coesite Inorganic materials 0.000 description 3
- 238000004891 communication Methods 0.000 description 3
- 238000009833 condensation Methods 0.000 description 3
- 230000005494 condensation Effects 0.000 description 3
- 229910052906 cristobalite Inorganic materials 0.000 description 3
- 125000001511 cyclopentyl group Chemical group [H]C1([H])C([H])([H])C([H])([H])C([H])(*)C1([H])[H] 0.000 description 3
- 230000007423 decrease Effects 0.000 description 3
- 125000001664 diethylamino group Chemical group [H]C([H])([H])C([H])([H])N(*)C([H])([H])C([H])([H])[H] 0.000 description 3
- UAOMVDZJSHZZME-UHFFFAOYSA-N diisopropylamine Chemical compound CC(C)NC(C)C UAOMVDZJSHZZME-UHFFFAOYSA-N 0.000 description 3
- 229910001873 dinitrogen Inorganic materials 0.000 description 3
- 238000001704 evaporation Methods 0.000 description 3
- 230000008020 evaporation Effects 0.000 description 3
- 229910000039 hydrogen halide Inorganic materials 0.000 description 3
- 239000012433 hydrogen halide Substances 0.000 description 3
- 230000005660 hydrophilic surface Effects 0.000 description 3
- 238000011065 in-situ storage Methods 0.000 description 3
- 230000001939 inductive effect Effects 0.000 description 3
- 230000010354 integration Effects 0.000 description 3
- 125000002346 iodo group Chemical group I* 0.000 description 3
- IDIOJRGTRFRIJL-UHFFFAOYSA-N iodosilane Chemical class I[SiH3] IDIOJRGTRFRIJL-UHFFFAOYSA-N 0.000 description 3
- 125000003253 isopropoxy group Chemical group [H]C([H])([H])C([H])(O*)C([H])([H])[H] 0.000 description 3
- 125000000956 methoxy group Chemical group [H]C([H])([H])O* 0.000 description 3
- 238000013508 migration Methods 0.000 description 3
- 230000005012 migration Effects 0.000 description 3
- WHXTVQNIFGXMSB-UHFFFAOYSA-N n-methyl-n-[tris(dimethylamino)stannyl]methanamine Chemical compound CN(C)[Sn](N(C)C)(N(C)C)N(C)C WHXTVQNIFGXMSB-UHFFFAOYSA-N 0.000 description 3
- 238000010943 off-gassing Methods 0.000 description 3
- 230000003287 optical effect Effects 0.000 description 3
- 150000001282 organosilanes Chemical class 0.000 description 3
- KHIWWQKSHDUIBK-UHFFFAOYSA-N periodic acid Chemical compound OI(=O)(=O)=O KHIWWQKSHDUIBK-UHFFFAOYSA-N 0.000 description 3
- 125000001997 phenyl group Chemical group [H]C1=C([H])C([H])=C(*)C([H])=C1[H] 0.000 description 3
- 229920000642 polymer Polymers 0.000 description 3
- 238000011112 process operation Methods 0.000 description 3
- 238000003672 processing method Methods 0.000 description 3
- 239000000047 product Substances 0.000 description 3
- 230000009257 reactivity Effects 0.000 description 3
- 238000012163 sequencing technique Methods 0.000 description 3
- 150000004756 silanes Chemical class 0.000 description 3
- 239000002356 single layer Substances 0.000 description 3
- 238000005549 size reduction Methods 0.000 description 3
- 239000000243 solution Substances 0.000 description 3
- 229910052682 stishovite Inorganic materials 0.000 description 3
- 125000005017 substituted alkenyl group Chemical group 0.000 description 3
- 125000005346 substituted cycloalkyl group Chemical group 0.000 description 3
- 229940073455 tetraethylammonium hydroxide Drugs 0.000 description 3
- LRGJRHZIDJQFCL-UHFFFAOYSA-M tetraethylazanium;hydroxide Chemical compound [OH-].CC[N+](CC)(CC)CC LRGJRHZIDJQFCL-UHFFFAOYSA-M 0.000 description 3
- RWWNQEOPUOCKGR-UHFFFAOYSA-N tetraethyltin Chemical compound CC[Sn](CC)(CC)CC RWWNQEOPUOCKGR-UHFFFAOYSA-N 0.000 description 3
- YLQBMQCUIZJEEH-UHFFFAOYSA-N tetrahydrofuran Natural products C=1C=COC=1 YLQBMQCUIZJEEH-UHFFFAOYSA-N 0.000 description 3
- VXKWYPOMXBVZSJ-UHFFFAOYSA-N tetramethyltin Chemical compound C[Sn](C)(C)C VXKWYPOMXBVZSJ-UHFFFAOYSA-N 0.000 description 3
- LPSKDVINWQNWFE-UHFFFAOYSA-M tetrapropylazanium;hydroxide Chemical compound [OH-].CCC[N+](CCC)(CCC)CCC LPSKDVINWQNWFE-UHFFFAOYSA-M 0.000 description 3
- 238000007669 thermal treatment Methods 0.000 description 3
- LTSUHJWLSNQKIP-UHFFFAOYSA-J tin(iv) bromide Chemical compound Br[Sn](Br)(Br)Br LTSUHJWLSNQKIP-UHFFFAOYSA-J 0.000 description 3
- 238000012876 topography Methods 0.000 description 3
- 229910052905 tridymite Inorganic materials 0.000 description 3
- CVOGMKGEVNGRSK-OWOJBTEDSA-N (e)-1,2-diiodoethene Chemical group I\C=C\I CVOGMKGEVNGRSK-OWOJBTEDSA-N 0.000 description 2
- CVOGMKGEVNGRSK-UPHRSURJSA-N (z)-1,2-diiodoethene Chemical group I\C=C/I CVOGMKGEVNGRSK-UPHRSURJSA-N 0.000 description 2
- POILWHVDKZOXJZ-ARJAWSKDSA-M (z)-4-oxopent-2-en-2-olate Chemical compound C\C([O-])=C\C(C)=O POILWHVDKZOXJZ-ARJAWSKDSA-M 0.000 description 2
- FLVRSZNOVIPOOV-UHFFFAOYSA-N 1,1-diiodocyclopropane Chemical compound IC1(I)CC1 FLVRSZNOVIPOOV-UHFFFAOYSA-N 0.000 description 2
- VFRMAHVDXYSEON-UHFFFAOYSA-N 1,1-diiodoethene Chemical compound IC(I)=C VFRMAHVDXYSEON-UHFFFAOYSA-N 0.000 description 2
- XANKMCMFEJCODV-UHFFFAOYSA-N 1,2-diiodoethyne Chemical group IC#CI XANKMCMFEJCODV-UHFFFAOYSA-N 0.000 description 2
- JHUPGXNKUPOSIE-UHFFFAOYSA-N 1-iodoprop-1-yne Chemical compound CC#CI JHUPGXNKUPOSIE-UHFFFAOYSA-N 0.000 description 2
- 229910015444 B(OH)3 Inorganic materials 0.000 description 2
- 229910015900 BF3 Inorganic materials 0.000 description 2
- KAKZBPTYRLMSJV-UHFFFAOYSA-N Butadiene Chemical compound C=CC=C KAKZBPTYRLMSJV-UHFFFAOYSA-N 0.000 description 2
- 239000005046 Chlorosilane Substances 0.000 description 2
- IAZDPXIOMUYVGZ-UHFFFAOYSA-N Dimethylsulphoxide Chemical compound CS(C)=O IAZDPXIOMUYVGZ-UHFFFAOYSA-N 0.000 description 2
- OTMSDBZUPAUEDD-UHFFFAOYSA-N Ethane Chemical compound CC OTMSDBZUPAUEDD-UHFFFAOYSA-N 0.000 description 2
- QUSNBJAOOMFDIB-UHFFFAOYSA-N Ethylamine Chemical compound CCN QUSNBJAOOMFDIB-UHFFFAOYSA-N 0.000 description 2
- 229910003946 H3Si Inorganic materials 0.000 description 2
- VEXZGXHMUGYJMC-UHFFFAOYSA-N Hydrochloric acid Chemical compound Cl VEXZGXHMUGYJMC-UHFFFAOYSA-N 0.000 description 2
- 229910013504 M-O-M Inorganic materials 0.000 description 2
- BAVYZALUXZFZLV-UHFFFAOYSA-N Methylamine Chemical compound NC BAVYZALUXZFZLV-UHFFFAOYSA-N 0.000 description 2
- ISMMXCYXOWCVGW-UHFFFAOYSA-N N-[butan-2-yl-bis(dimethylamino)stannyl]-N-methylmethanamine Chemical compound C(C)(CC)[Sn](N(C)C)(N(C)C)N(C)C ISMMXCYXOWCVGW-UHFFFAOYSA-N 0.000 description 2
- HISWIBBQBKEYQD-UHFFFAOYSA-N N-[tert-butyl-bis(dimethylamino)stannyl]-N-methylmethanamine Chemical compound CN(C)[Sn](N(C)C)(N(C)C)C(C)(C)C HISWIBBQBKEYQD-UHFFFAOYSA-N 0.000 description 2
- 229910003828 SiH3 Inorganic materials 0.000 description 2
- 229910020286 SiOxNy Inorganic materials 0.000 description 2
- OKJPEAGHQZHRQV-UHFFFAOYSA-N Triiodomethane Natural products IC(I)I OKJPEAGHQZHRQV-UHFFFAOYSA-N 0.000 description 2
- BZHJMEDXRYGGRV-UHFFFAOYSA-N Vinyl chloride Chemical compound ClC=C BZHJMEDXRYGGRV-UHFFFAOYSA-N 0.000 description 2
- 229910008940 W(CO)6 Inorganic materials 0.000 description 2
- MCMNRKCIXSYSNV-UHFFFAOYSA-N Zirconium dioxide Chemical compound O=[Zr]=O MCMNRKCIXSYSNV-UHFFFAOYSA-N 0.000 description 2
- 125000002252 acyl group Chemical group 0.000 description 2
- 230000000996 additive effect Effects 0.000 description 2
- 150000001299 aldehydes Chemical class 0.000 description 2
- 150000007824 aliphatic compounds Chemical class 0.000 description 2
- 125000003282 alkyl amino group Chemical group 0.000 description 2
- 150000001361 allenes Chemical class 0.000 description 2
- HFEHLDPGIKPNKL-UHFFFAOYSA-N allyl iodide Chemical compound ICC=C HFEHLDPGIKPNKL-UHFFFAOYSA-N 0.000 description 2
- 229910052782 aluminium Inorganic materials 0.000 description 2
- 125000003368 amide group Chemical group 0.000 description 2
- 229910021529 ammonia Inorganic materials 0.000 description 2
- 239000012298 atmosphere Substances 0.000 description 2
- 125000002619 bicyclic group Chemical group 0.000 description 2
- WLNIUEYAQZRJFS-UHFFFAOYSA-N bis[bis(trimethylsilyl)amino]tin Chemical compound C[Si](C)(C)N([Si](C)(C)C)[Sn]N([Si](C)(C)C)[Si](C)(C)C WLNIUEYAQZRJFS-UHFFFAOYSA-N 0.000 description 2
- 229910000416 bismuth oxide Inorganic materials 0.000 description 2
- UORVGPXVDQYIDP-UHFFFAOYSA-N borane Chemical class B UORVGPXVDQYIDP-UHFFFAOYSA-N 0.000 description 2
- 229910000085 borane Inorganic materials 0.000 description 2
- KGBXLFKZBHKPEV-UHFFFAOYSA-N boric acid Chemical compound OB(O)O KGBXLFKZBHKPEV-UHFFFAOYSA-N 0.000 description 2
- YMEKEHSRPZAOGO-UHFFFAOYSA-N boron triiodide Chemical compound IB(I)I YMEKEHSRPZAOGO-UHFFFAOYSA-N 0.000 description 2
- 150000001642 boronic acid derivatives Chemical class 0.000 description 2
- 239000001273 butane Substances 0.000 description 2
- FQNHWXHRAUXLFU-UHFFFAOYSA-N carbon monoxide;tungsten Chemical group [W].[O+]#[C-].[O+]#[C-].[O+]#[C-].[O+]#[C-].[O+]#[C-].[O+]#[C-] FQNHWXHRAUXLFU-UHFFFAOYSA-N 0.000 description 2
- DIWKDXFZXXCDLF-UHFFFAOYSA-N chloroethyne Chemical group ClC#C DIWKDXFZXXCDLF-UHFFFAOYSA-N 0.000 description 2
- 238000003776 cleavage reaction Methods 0.000 description 2
- 239000004020 conductor Substances 0.000 description 2
- 239000000470 constituent Substances 0.000 description 2
- 238000001816 cooling Methods 0.000 description 2
- 239000010949 copper Substances 0.000 description 2
- 230000008878 coupling Effects 0.000 description 2
- 238000010168 coupling process Methods 0.000 description 2
- 238000005859 coupling reaction Methods 0.000 description 2
- 125000004093 cyano group Chemical group *C#N 0.000 description 2
- 125000001995 cyclobutyl group Chemical group [H]C1([H])C([H])([H])C([H])(*)C1([H])[H] 0.000 description 2
- JHIVVAPYMSGYDF-UHFFFAOYSA-N cyclohexanone Chemical compound O=C1CCCCC1 JHIVVAPYMSGYDF-UHFFFAOYSA-N 0.000 description 2
- 125000000596 cyclohexenyl group Chemical group C1(=CCCCC1)* 0.000 description 2
- 125000002433 cyclopentenyl group Chemical group C1(=CCCC1)* 0.000 description 2
- OOXWYYGXTJLWHA-UHFFFAOYSA-N cyclopropene Chemical compound C1C=C1 OOXWYYGXTJLWHA-UHFFFAOYSA-N 0.000 description 2
- 125000001559 cyclopropyl group Chemical group [H]C1([H])C([H])([H])C1([H])* 0.000 description 2
- 230000032798 delamination Effects 0.000 description 2
- 238000010586 diagram Methods 0.000 description 2
- TYIXMATWDRGMPF-UHFFFAOYSA-N dibismuth;oxygen(2-) Chemical compound [O-2].[O-2].[O-2].[Bi+3].[Bi+3] TYIXMATWDRGMPF-UHFFFAOYSA-N 0.000 description 2
- 238000009792 diffusion process Methods 0.000 description 2
- VSCACQAYZDJOOU-UHFFFAOYSA-N dimethyltin(2+) Chemical compound C[Sn+2]C VSCACQAYZDJOOU-UHFFFAOYSA-N 0.000 description 2
- USIUVYZYUHIAEV-UHFFFAOYSA-N diphenyl ether Chemical compound C=1C=CC=CC=1OC1=CC=CC=C1 USIUVYZYUHIAEV-UHFFFAOYSA-N 0.000 description 2
- 238000009826 distribution Methods 0.000 description 2
- 230000005672 electromagnetic field Effects 0.000 description 2
- 230000002708 enhancing effect Effects 0.000 description 2
- BHXIWUJLHYHGSJ-UHFFFAOYSA-N ethyl 3-ethoxypropanoate Chemical compound CCOCCC(=O)OCC BHXIWUJLHYHGSJ-UHFFFAOYSA-N 0.000 description 2
- 238000011049 filling Methods 0.000 description 2
- 125000003187 heptyl group Chemical group [H]C([*])([H])C([H])([H])C([H])([H])C([H])([H])C([H])([H])C([H])([H])C([H])([H])[H] 0.000 description 2
- 125000001072 heteroaryl group Chemical group 0.000 description 2
- 229910003437 indium oxide Inorganic materials 0.000 description 2
- PSCMQHVBLHHWTO-UHFFFAOYSA-K indium(iii) chloride Chemical compound Cl[In](Cl)Cl PSCMQHVBLHHWTO-UHFFFAOYSA-K 0.000 description 2
- PJXISJQVUVHSOJ-UHFFFAOYSA-N indium(iii) oxide Chemical compound [O-2].[O-2].[O-2].[In+3].[In+3] PJXISJQVUVHSOJ-UHFFFAOYSA-N 0.000 description 2
- 125000001041 indolyl group Chemical group 0.000 description 2
- VLODBNNWEWTQJX-UHFFFAOYSA-N iodocyclopropane Chemical compound IC1CC1 VLODBNNWEWTQJX-UHFFFAOYSA-N 0.000 description 2
- GHXZPUGJZVBLGC-UHFFFAOYSA-N iodoethene Chemical compound IC=C GHXZPUGJZVBLGC-UHFFFAOYSA-N 0.000 description 2
- JCIVIRQSXLTMEF-UHFFFAOYSA-N iodoethyne Chemical group IC#C JCIVIRQSXLTMEF-UHFFFAOYSA-N 0.000 description 2
- 238000010849 ion bombardment Methods 0.000 description 2
- 238000005468 ion implantation Methods 0.000 description 2
- BMFVGAAISNGQNM-UHFFFAOYSA-N isopentylamine Chemical compound CC(C)CCN BMFVGAAISNGQNM-UHFFFAOYSA-N 0.000 description 2
- 238000005259 measurement Methods 0.000 description 2
- 125000002950 monocyclic group Chemical group 0.000 description 2
- VLKZOEOYAKHREP-UHFFFAOYSA-N n-Hexane Chemical compound CCCCCC VLKZOEOYAKHREP-UHFFFAOYSA-N 0.000 description 2
- IQKRFOJQFHWWJI-UHFFFAOYSA-N n-[butyl-bis(dimethylamino)stannyl]-n-methylmethanamine Chemical compound CCCC[Sn](N(C)C)(N(C)C)N(C)C IQKRFOJQFHWWJI-UHFFFAOYSA-N 0.000 description 2
- IJDNQMDRQITEOD-UHFFFAOYSA-N n-butane Chemical compound CCCC IJDNQMDRQITEOD-UHFFFAOYSA-N 0.000 description 2
- OFBQJSOFQDEBGM-UHFFFAOYSA-N n-pentane Natural products CCCCC OFBQJSOFQDEBGM-UHFFFAOYSA-N 0.000 description 2
- 125000000740 n-pentyl group Chemical group [H]C([H])([H])C([H])([H])C([H])([H])C([H])([H])C([H])([H])* 0.000 description 2
- 125000001624 naphthyl group Chemical group 0.000 description 2
- 125000000449 nitro group Chemical group [O-][N+](*)=O 0.000 description 2
- 229920000620 organic polymer Polymers 0.000 description 2
- 239000003960 organic solvent Substances 0.000 description 2
- 150000002902 organometallic compounds Chemical group 0.000 description 2
- 125000000369 oxido group Chemical group [*]=O 0.000 description 2
- 150000003003 phosphines Chemical class 0.000 description 2
- FAIAAWCVCHQXDN-UHFFFAOYSA-N phosphorus trichloride Chemical compound ClP(Cl)Cl FAIAAWCVCHQXDN-UHFFFAOYSA-N 0.000 description 2
- 238000007747 plating Methods 0.000 description 2
- 229920001343 polytetrafluoroethylene Polymers 0.000 description 2
- 239000004810 polytetrafluoroethylene Substances 0.000 description 2
- 125000004805 propylene group Chemical group [H]C([H])([H])C([H])([*:1])C([H])([H])[*:2] 0.000 description 2
- MWWATHDPGQKSAR-UHFFFAOYSA-N propyne Chemical group CC#C MWWATHDPGQKSAR-UHFFFAOYSA-N 0.000 description 2
- 230000007017 scission Effects 0.000 description 2
- 229910000077 silane Inorganic materials 0.000 description 2
- 125000001339 silanediyl group Chemical group [H][Si]([H])(*)* 0.000 description 2
- 125000003808 silyl group Chemical group [H][Si]([H])([H])[*] 0.000 description 2
- 125000004426 substituted alkynyl group Chemical group 0.000 description 2
- RATFAPAEQUBKNF-UHFFFAOYSA-N tert-butyl-tris[(2-methylpropan-2-yl)oxy]stannane Chemical compound CC(C)(C)O[Sn](OC(C)(C)C)(OC(C)(C)C)C(C)(C)C RATFAPAEQUBKNF-UHFFFAOYSA-N 0.000 description 2
- 125000001973 tert-pentyl group Chemical group [H]C([H])([H])C([H])([H])C(*)(C([H])([H])[H])C([H])([H])[H] 0.000 description 2
- 238000012360 testing method Methods 0.000 description 2
- 125000006169 tetracyclic group Chemical group 0.000 description 2
- LFQCEHFDDXELDD-UHFFFAOYSA-N tetramethyl orthosilicate Chemical compound CO[Si](OC)(OC)OC LFQCEHFDDXELDD-UHFFFAOYSA-N 0.000 description 2
- 125000000383 tetramethylene group Chemical group [H]C([H])([*:1])C([H])([H])C([H])([H])C([H])([H])[*:2] 0.000 description 2
- IUTCEZPPWBHGIX-UHFFFAOYSA-N tin(2+) Chemical compound [Sn+2] IUTCEZPPWBHGIX-UHFFFAOYSA-N 0.000 description 2
- DBGVGMSCBYYSLD-UHFFFAOYSA-N tributylstannane Chemical compound CCCC[SnH](CCCC)CCCC DBGVGMSCBYYSLD-UHFFFAOYSA-N 0.000 description 2
- 125000006168 tricyclic group Chemical group 0.000 description 2
- CYTQBVOFDCPGCX-UHFFFAOYSA-N trimethyl phosphite Chemical compound COP(OC)OC CYTQBVOFDCPGCX-UHFFFAOYSA-N 0.000 description 2
- GETQZCLCWQTVFV-UHFFFAOYSA-N trimethylamine Chemical compound CN(C)C GETQZCLCWQTVFV-UHFFFAOYSA-N 0.000 description 2
- CSRZQMIRAZTJOY-UHFFFAOYSA-N trimethylsilyl iodide Chemical compound C[Si](C)(C)I CSRZQMIRAZTJOY-UHFFFAOYSA-N 0.000 description 2
- QKHVIZGJYSQPCU-UHFFFAOYSA-N trimethylstannanylium Chemical compound C[Sn+](C)C QKHVIZGJYSQPCU-UHFFFAOYSA-N 0.000 description 2
- WIDQNNDDTXUPAN-UHFFFAOYSA-I tungsten(v) chloride Chemical compound Cl[W](Cl)(Cl)(Cl)Cl WIDQNNDDTXUPAN-UHFFFAOYSA-I 0.000 description 2
- 238000011144 upstream manufacturing Methods 0.000 description 2
- 238000005019 vapor deposition process Methods 0.000 description 2
- 239000006200 vaporizer Substances 0.000 description 2
- WYTZZXDRDKSJID-UHFFFAOYSA-N (3-aminopropyl)triethoxysilane Chemical compound CCO[Si](OCC)(OCC)CCCN WYTZZXDRDKSJID-UHFFFAOYSA-N 0.000 description 1
- MUTGBJKUEZFXGO-OLQVQODUSA-N (3as,7ar)-3a,4,5,6,7,7a-hexahydro-2-benzofuran-1,3-dione Chemical compound C1CCC[C@@H]2C(=O)OC(=O)[C@@H]21 MUTGBJKUEZFXGO-OLQVQODUSA-N 0.000 description 1
- KMOUUZVZFBCRAM-OLQVQODUSA-N (3as,7ar)-3a,4,7,7a-tetrahydro-2-benzofuran-1,3-dione Chemical compound C1C=CC[C@@H]2C(=O)OC(=O)[C@@H]21 KMOUUZVZFBCRAM-OLQVQODUSA-N 0.000 description 1
- 125000000008 (C1-C10) alkyl group Chemical class 0.000 description 1
- 125000004191 (C1-C6) alkoxy group Chemical group 0.000 description 1
- 125000003161 (C1-C6) alkylene group Chemical group 0.000 description 1
- 125000006585 (C6-C10) arylene group Chemical group 0.000 description 1
- MZJYQXPULRLGCA-UHFFFAOYSA-N 1,1-dichlorocyclopropane Chemical compound ClC1(Cl)CC1 MZJYQXPULRLGCA-UHFFFAOYSA-N 0.000 description 1
- LGXVIGDEPROXKC-UHFFFAOYSA-N 1,1-dichloroethene Chemical compound ClC(Cl)=C LGXVIGDEPROXKC-UHFFFAOYSA-N 0.000 description 1
- WSLDOOZREJYCGB-UHFFFAOYSA-N 1,2-Dichloroethane Chemical compound ClCCCl WSLDOOZREJYCGB-UHFFFAOYSA-N 0.000 description 1
- PAAZPARNPHGIKF-UHFFFAOYSA-N 1,2-dibromoethane Chemical compound BrCCBr PAAZPARNPHGIKF-UHFFFAOYSA-N 0.000 description 1
- JZKAJIFHBZJCAI-UHFFFAOYSA-N 1,2-ditert-butylhydrazine Chemical compound CC(C)(C)NNC(C)(C)C JZKAJIFHBZJCAI-UHFFFAOYSA-N 0.000 description 1
- YJTKZCDBKVTVBY-UHFFFAOYSA-N 1,3-Diphenylbenzene Chemical group C1=CC=CC=C1C1=CC=CC(C=2C=CC=CC=2)=C1 YJTKZCDBKVTVBY-UHFFFAOYSA-N 0.000 description 1
- VLDPXPPHXDGHEW-UHFFFAOYSA-N 1-chloro-2-dichlorophosphoryloxybenzene Chemical compound ClC1=CC=CC=C1OP(Cl)(Cl)=O VLDPXPPHXDGHEW-UHFFFAOYSA-N 0.000 description 1
- OMNGRPHPKWAMSY-UHFFFAOYSA-N 1-chloroprop-1-yne Chemical compound CC#CCl OMNGRPHPKWAMSY-UHFFFAOYSA-N 0.000 description 1
- UUFQTNFCRMXOAE-UHFFFAOYSA-N 1-methylmethylene Chemical compound C[CH] UUFQTNFCRMXOAE-UHFFFAOYSA-N 0.000 description 1
- 125000000530 1-propynyl group Chemical group [H]C([H])([H])C#C* 0.000 description 1
- YBYIRNPNPLQARY-UHFFFAOYSA-N 1H-indene Natural products C1=CC=C2CC=CC2=C1 YBYIRNPNPLQARY-UHFFFAOYSA-N 0.000 description 1
- VILCJCGEZXAXTO-UHFFFAOYSA-N 2,2,2-tetramine Chemical compound NCCNCCNCCN VILCJCGEZXAXTO-UHFFFAOYSA-N 0.000 description 1
- GIAFURWZWWWBQT-UHFFFAOYSA-N 2-(2-aminoethoxy)ethanol Chemical compound NCCOCCO GIAFURWZWWWBQT-UHFFFAOYSA-N 0.000 description 1
- FALRKNHUBBKYCC-UHFFFAOYSA-N 2-(chloromethyl)pyridine-3-carbonitrile Chemical compound ClCC1=NC=CC=C1C#N FALRKNHUBBKYCC-UHFFFAOYSA-N 0.000 description 1
- BEEYLGLWYXWFAG-UHFFFAOYSA-N 2-aminosilyl-2-methylpropane Chemical compound CC(C)(C)[SiH2]N BEEYLGLWYXWFAG-UHFFFAOYSA-N 0.000 description 1
- 125000001340 2-chloroethyl group Chemical group [H]C([H])(Cl)C([H])([H])* 0.000 description 1
- MAYUMUDTQDNZBD-UHFFFAOYSA-N 2-chloroethylsilane Chemical compound [SiH3]CCCl MAYUMUDTQDNZBD-UHFFFAOYSA-N 0.000 description 1
- VUGMARFZKDASCX-UHFFFAOYSA-N 2-methyl-N-silylpropan-2-amine Chemical compound CC(C)(C)N[SiH3] VUGMARFZKDASCX-UHFFFAOYSA-N 0.000 description 1
- GELMWIVBBPAMIO-UHFFFAOYSA-N 2-methylbutan-2-amine Chemical compound CCC(C)(C)N GELMWIVBBPAMIO-UHFFFAOYSA-N 0.000 description 1
- MSXVEPNJUHWQHW-UHFFFAOYSA-N 2-methylbutan-2-ol Chemical compound CCC(C)(C)O MSXVEPNJUHWQHW-UHFFFAOYSA-N 0.000 description 1
- 125000003903 2-propenyl group Chemical group [H]C([*])([H])C([H])=C([H])[H] 0.000 description 1
- TXQJIMNDEHCONN-UHFFFAOYSA-N 3-(2-methoxyphenoxy)propanoic acid Chemical compound COC1=CC=CC=C1OCCC(O)=O TXQJIMNDEHCONN-UHFFFAOYSA-N 0.000 description 1
- OQEBBZSWEGYTPG-UHFFFAOYSA-N 3-aminobutanoic acid Chemical compound CC(N)CC(O)=O OQEBBZSWEGYTPG-UHFFFAOYSA-N 0.000 description 1
- MNTMWHBQGOKGDD-UHFFFAOYSA-N 3-methylbutylsilane Chemical compound CC(C)CC[SiH3] MNTMWHBQGOKGDD-UHFFFAOYSA-N 0.000 description 1
- SJECZPVISLOESU-UHFFFAOYSA-N 3-trimethoxysilylpropan-1-amine Chemical compound CO[Si](OC)(OC)CCCN SJECZPVISLOESU-UHFFFAOYSA-N 0.000 description 1
- AKHSBAVQPIRVAG-UHFFFAOYSA-N 4h-isochromene-1,3-dione Chemical compound C1=CC=C2C(=O)OC(=O)CC2=C1 AKHSBAVQPIRVAG-UHFFFAOYSA-N 0.000 description 1
- OSDWBNJEKMUWAV-UHFFFAOYSA-N Allyl chloride Chemical compound ClCC=C OSDWBNJEKMUWAV-UHFFFAOYSA-N 0.000 description 1
- FERIUCNNQQJTOY-UHFFFAOYSA-M Butyrate Chemical compound CCCC([O-])=O FERIUCNNQQJTOY-UHFFFAOYSA-M 0.000 description 1
- YOAGDOPQYQNTON-UHFFFAOYSA-N C(C)(C)[Sn](OC(C)(C)C)(OC(C)(C)C)OC(C)(C)C Chemical compound C(C)(C)[Sn](OC(C)(C)C)(OC(C)(C)C)OC(C)(C)C YOAGDOPQYQNTON-UHFFFAOYSA-N 0.000 description 1
- CKEPNQBBRACRLR-UHFFFAOYSA-N C(C)N(C)[Sn](N(CC)C)(N(CC)C)N(CC)C Chemical compound C(C)N(C)[Sn](N(CC)C)(N(CC)C)N(CC)C CKEPNQBBRACRLR-UHFFFAOYSA-N 0.000 description 1
- 125000000882 C2-C6 alkenyl group Chemical group 0.000 description 1
- 125000003601 C2-C6 alkynyl group Chemical group 0.000 description 1
- HWIXPKXTHFASMB-UHFFFAOYSA-N CC(C)(C)O[Sn](OC(C)(C)C)OC(C)(C)C Chemical compound CC(C)(C)O[Sn](OC(C)(C)C)OC(C)(C)C HWIXPKXTHFASMB-UHFFFAOYSA-N 0.000 description 1
- LFTCBFZOOOONEN-UHFFFAOYSA-N CC1(C(=C(C(=C1C)C)C)C)[Pt](C)(C)C Chemical compound CC1(C(=C(C(=C1C)C)C)C)[Pt](C)(C)C LFTCBFZOOOONEN-UHFFFAOYSA-N 0.000 description 1
- UEOVGDMEQRSFHK-UHFFFAOYSA-N C[C]1[CH][CH][CH][CH]1.C1CC=CCCC=C1.[Ir] Chemical compound C[C]1[CH][CH][CH][CH]1.C1CC=CCCC=C1.[Ir] UEOVGDMEQRSFHK-UHFFFAOYSA-N 0.000 description 1
- 101100167062 Caenorhabditis elegans chch-3 gene Proteins 0.000 description 1
- 101100419874 Caenorhabditis elegans snr-2 gene Proteins 0.000 description 1
- 101100149686 Caenorhabditis elegans snr-4 gene Proteins 0.000 description 1
- RYGMFSIKBFXOCR-UHFFFAOYSA-N Copper Chemical compound [Cu] RYGMFSIKBFXOCR-UHFFFAOYSA-N 0.000 description 1
- HTJDQJBWANPRPF-UHFFFAOYSA-N Cyclopropylamine Chemical compound NC1CC1 HTJDQJBWANPRPF-UHFFFAOYSA-N 0.000 description 1
- BWGNESOTFCXPMA-UHFFFAOYSA-N Dihydrogen disulfide Chemical compound SS BWGNESOTFCXPMA-UHFFFAOYSA-N 0.000 description 1
- RWSOTUBLDIXVET-UHFFFAOYSA-N Dihydrogen sulfide Chemical compound S RWSOTUBLDIXVET-UHFFFAOYSA-N 0.000 description 1
- SNRUBQQJIBEYMU-UHFFFAOYSA-N Dodecane Natural products CCCCCCCCCCCC SNRUBQQJIBEYMU-UHFFFAOYSA-N 0.000 description 1
- VGGSQFUCUMXWEO-UHFFFAOYSA-N Ethene Chemical compound C=C VGGSQFUCUMXWEO-UHFFFAOYSA-N 0.000 description 1
- 239000005977 Ethylene Substances 0.000 description 1
- PIICEJLVQHRZGT-UHFFFAOYSA-N Ethylenediamine Chemical compound NCCN PIICEJLVQHRZGT-UHFFFAOYSA-N 0.000 description 1
- 102100029203 F-box only protein 8 Human genes 0.000 description 1
- KRHYYFGTRYWZRS-UHFFFAOYSA-N Fluorane Chemical compound F KRHYYFGTRYWZRS-UHFFFAOYSA-N 0.000 description 1
- 206010017577 Gait disturbance Diseases 0.000 description 1
- 101100334493 Homo sapiens FBXO8 gene Proteins 0.000 description 1
- 101000869503 Homo sapiens SAC3 domain-containing protein 1 Proteins 0.000 description 1
- AVXURJPOCDRRFD-UHFFFAOYSA-N Hydroxylamine Chemical compound ON AVXURJPOCDRRFD-UHFFFAOYSA-N 0.000 description 1
- XEEYBQQBJWHFJM-UHFFFAOYSA-N Iron Chemical compound [Fe] XEEYBQQBJWHFJM-UHFFFAOYSA-N 0.000 description 1
- 239000002841 Lewis acid Substances 0.000 description 1
- ZOKXTWBITQBERF-UHFFFAOYSA-N Molybdenum Chemical compound [Mo] ZOKXTWBITQBERF-UHFFFAOYSA-N 0.000 description 1
- FFCANLYBTKDQNI-UHFFFAOYSA-N N-[bis(diethylamino)-propylstannyl]-N-ethylethanamine Chemical compound C(CC)[Sn](N(CC)CC)(N(CC)CC)N(CC)CC FFCANLYBTKDQNI-UHFFFAOYSA-N 0.000 description 1
- KQGGJNBLTLQKTN-UHFFFAOYSA-N N-[bis(dimethylamino)-pentylstannyl]-N-methylmethanamine Chemical compound C(CCCC)[Sn](N(C)C)(N(C)C)N(C)C KQGGJNBLTLQKTN-UHFFFAOYSA-N 0.000 description 1
- UHOOJVLVYSLQLQ-UHFFFAOYSA-N N-[bis(dimethylamino)-propan-2-ylstannyl]-N-methylmethanamine Chemical compound C(C)(C)[Sn](N(C)C)(N(C)C)N(C)C UHOOJVLVYSLQLQ-UHFFFAOYSA-N 0.000 description 1
- POYXZKRAMUWFIP-UHFFFAOYSA-N N-[ditert-butyl(dimethylamino)stannyl]-N-methylmethanamine Chemical compound C(C)(C)(C)[Sn](N(C)C)(N(C)C)C(C)(C)C POYXZKRAMUWFIP-UHFFFAOYSA-N 0.000 description 1
- 229910002651 NO3 Inorganic materials 0.000 description 1
- GRSMWKLPSNHDHA-UHFFFAOYSA-N Naphthalic anhydride Chemical compound C1=CC(C(=O)OC2=O)=C3C2=CC=CC3=C1 GRSMWKLPSNHDHA-UHFFFAOYSA-N 0.000 description 1
- NHNBFGGVMKEFGY-UHFFFAOYSA-N Nitrate Chemical compound [O-][N+]([O-])=O NHNBFGGVMKEFGY-UHFFFAOYSA-N 0.000 description 1
- 229910019142 PO4 Inorganic materials 0.000 description 1
- OAICVXFJPJFONN-UHFFFAOYSA-N Phosphorus Chemical compound [P] OAICVXFJPJFONN-UHFFFAOYSA-N 0.000 description 1
- LGRFSURHDFAFJT-UHFFFAOYSA-N Phthalic anhydride Natural products C1=CC=C2C(=O)OC(=O)C2=C1 LGRFSURHDFAFJT-UHFFFAOYSA-N 0.000 description 1
- XBDQKXXYIPTUBI-UHFFFAOYSA-N Propionic acid Chemical compound CCC(O)=O XBDQKXXYIPTUBI-UHFFFAOYSA-N 0.000 description 1
- 102100032278 SAC3 domain-containing protein 1 Human genes 0.000 description 1
- 229910007161 Si(CH3)3 Inorganic materials 0.000 description 1
- 229910004012 SiCx Inorganic materials 0.000 description 1
- BOTDANWDWHJENH-UHFFFAOYSA-N Tetraethyl orthosilicate Chemical compound CCO[Si](OCC)(OCC)OCC BOTDANWDWHJENH-UHFFFAOYSA-N 0.000 description 1
- NRTOMJZYCJJWKI-UHFFFAOYSA-N Titanium nitride Chemical compound [Ti]#N NRTOMJZYCJJWKI-UHFFFAOYSA-N 0.000 description 1
- GSEJCLTVZPLZKY-UHFFFAOYSA-N Triethanolamine Chemical compound OCCN(CCO)CCO GSEJCLTVZPLZKY-UHFFFAOYSA-N 0.000 description 1
- SLGBZMMZGDRARJ-UHFFFAOYSA-N Triphenylene Natural products C1=CC=C2C3=CC=CC=C3C3=CC=CC=C3C2=C1 SLGBZMMZGDRARJ-UHFFFAOYSA-N 0.000 description 1
- 101150110932 US19 gene Proteins 0.000 description 1
- 229910003091 WCl6 Inorganic materials 0.000 description 1
- XLOMVQKBTHCTTD-UHFFFAOYSA-N Zinc monoxide Chemical compound [Zn]=O XLOMVQKBTHCTTD-UHFFFAOYSA-N 0.000 description 1
- ISKQADXMHQSTHK-UHFFFAOYSA-N [4-(aminomethyl)phenyl]methanamine Chemical compound NCC1=CC=C(CN)C=C1 ISKQADXMHQSTHK-UHFFFAOYSA-N 0.000 description 1
- VDOWDFZHCHGOGK-UHFFFAOYSA-N [Ir].C(C)C1(C=CC=C1)C1=CC=CCC1 Chemical compound [Ir].C(C)C1(C=CC=C1)C1=CC=CCC1 VDOWDFZHCHGOGK-UHFFFAOYSA-N 0.000 description 1
- XMIJDTGORVPYLW-UHFFFAOYSA-N [SiH2] Chemical compound [SiH2] XMIJDTGORVPYLW-UHFFFAOYSA-N 0.000 description 1
- RQVFGTYFBUVGOP-UHFFFAOYSA-N [acetyloxy(dimethyl)silyl] acetate Chemical compound CC(=O)O[Si](C)(C)OC(C)=O RQVFGTYFBUVGOP-UHFFFAOYSA-N 0.000 description 1
- 125000003668 acetyloxy group Chemical group [H]C([H])([H])C(=O)O[*] 0.000 description 1
- 239000002253 acid Substances 0.000 description 1
- 239000000654 additive Substances 0.000 description 1
- 150000004703 alkoxides Chemical class 0.000 description 1
- 229910045601 alloy Inorganic materials 0.000 description 1
- 239000000956 alloy Substances 0.000 description 1
- XAGFODPZIPBFFR-UHFFFAOYSA-N aluminium Chemical compound [Al] XAGFODPZIPBFFR-UHFFFAOYSA-N 0.000 description 1
- PNEYBMLMFCGWSK-UHFFFAOYSA-N aluminium oxide Inorganic materials [O-2].[O-2].[O-2].[Al+3].[Al+3] PNEYBMLMFCGWSK-UHFFFAOYSA-N 0.000 description 1
- 239000012080 ambient air Substances 0.000 description 1
- 150000001408 amides Chemical class 0.000 description 1
- 150000001414 amino alcohols Chemical class 0.000 description 1
- 239000000908 ammonium hydroxide Substances 0.000 description 1
- 150000001448 anilines Chemical class 0.000 description 1
- 150000001450 anions Chemical class 0.000 description 1
- 125000002178 anthracenyl group Chemical group C1(=CC=CC2=CC3=CC=CC=C3C=C12)* 0.000 description 1
- 125000004653 anthracenylene group Chemical group 0.000 description 1
- 125000005428 anthryl group Chemical group [H]C1=C([H])C([H])=C2C([H])=C3C(*)=C([H])C([H])=C([H])C3=C([H])C2=C1[H] 0.000 description 1
- GHPGOEFPKIHBNM-UHFFFAOYSA-N antimony(3+);oxygen(2-) Chemical compound [O-2].[O-2].[O-2].[Sb+3].[Sb+3] GHPGOEFPKIHBNM-UHFFFAOYSA-N 0.000 description 1
- 125000001204 arachidyl group Chemical group [H]C([*])([H])C([H])([H])C([H])([H])C([H])([H])C([H])([H])C([H])([H])C([H])([H])C([H])([H])C([H])([H])C([H])([H])C([H])([H])C([H])([H])C([H])([H])C([H])([H])C([H])([H])C([H])([H])C([H])([H])C([H])([H])C([H])([H])C([H])([H])[H] 0.000 description 1
- 238000003491 array Methods 0.000 description 1
- 125000002102 aryl alkyloxo group Chemical group 0.000 description 1
- 238000001636 atomic emission spectroscopy Methods 0.000 description 1
- 230000004888 barrier function Effects 0.000 description 1
- 150000001555 benzenes Chemical class 0.000 description 1
- 125000004618 benzofuryl group Chemical group O1C(=CC2=C1C=CC=C2)* 0.000 description 1
- WPYMKLBDIGXBTP-UHFFFAOYSA-N benzoic acid Chemical compound OC(=O)C1=CC=CC=C1 WPYMKLBDIGXBTP-UHFFFAOYSA-N 0.000 description 1
- 125000004196 benzothienyl group Chemical group S1C(=CC2=C1C=CC=C2)* 0.000 description 1
- 125000001797 benzyl group Chemical group [H]C1=C([H])C([H])=C(C([H])=C1[H])C([H])([H])* 0.000 description 1
- 150000003939 benzylamines Chemical class 0.000 description 1
- 230000005540 biological transmission Effects 0.000 description 1
- 125000002529 biphenylenyl group Chemical group C1(=CC=CC=2C3=CC=CC=C3C12)* 0.000 description 1
- XDRPDDZWXGILRT-FDGPNNRMSA-L bis[[(z)-4-oxopent-2-en-2-yl]oxy]tin Chemical compound [Sn+2].C\C([O-])=C\C(C)=O.C\C([O-])=C\C(C)=O XDRPDDZWXGILRT-FDGPNNRMSA-L 0.000 description 1
- JHXKRIRFYBPWGE-UHFFFAOYSA-K bismuth chloride Chemical compound Cl[Bi](Cl)Cl JHXKRIRFYBPWGE-UHFFFAOYSA-K 0.000 description 1
- 125000005998 bromoethyl group Chemical group 0.000 description 1
- GZUXJHMPEANEGY-UHFFFAOYSA-N bromomethane Chemical compound BrC GZUXJHMPEANEGY-UHFFFAOYSA-N 0.000 description 1
- VQPFDLRNOCQMSN-UHFFFAOYSA-N bromosilane Chemical class Br[SiH3] VQPFDLRNOCQMSN-UHFFFAOYSA-N 0.000 description 1
- RJCQBQGAPKAMLL-UHFFFAOYSA-N bromotrifluoromethane Chemical compound FC(F)(F)Br RJCQBQGAPKAMLL-UHFFFAOYSA-N 0.000 description 1
- AUOLYXZHVVMFPD-UHFFFAOYSA-N butan-2-yl(chloro)silane Chemical compound CCC(C)[SiH2]Cl AUOLYXZHVVMFPD-UHFFFAOYSA-N 0.000 description 1
- VBLDUBUUQYXSCG-UHFFFAOYSA-N butan-2-ylsilane Chemical compound CCC(C)[SiH3] VBLDUBUUQYXSCG-UHFFFAOYSA-N 0.000 description 1
- JHIWVOJDXOSYLW-UHFFFAOYSA-N butyl 2,2-difluorocyclopropane-1-carboxylate Chemical compound CCCCOC(=O)C1CC1(F)F JHIWVOJDXOSYLW-UHFFFAOYSA-N 0.000 description 1
- 229910052792 caesium Inorganic materials 0.000 description 1
- TVFDJXOCXUVLDH-UHFFFAOYSA-N caesium atom Chemical compound [Cs] TVFDJXOCXUVLDH-UHFFFAOYSA-N 0.000 description 1
- FSKLOGLSYZIRMP-UHFFFAOYSA-N carbanide 2-methylcyclopenta-1,3-diene platinum(4+) Chemical compound [CH3-].[CH3-].[CH3-].[Pt+4].CC=1C=C[CH-]C=1 FSKLOGLSYZIRMP-UHFFFAOYSA-N 0.000 description 1
- CREMABGTGYGIQB-UHFFFAOYSA-N carbon carbon Chemical compound C.C CREMABGTGYGIQB-UHFFFAOYSA-N 0.000 description 1
- 239000011203 carbon fibre reinforced carbon Substances 0.000 description 1
- WLEZSLNXJZXKGT-UHFFFAOYSA-N carbon monoxide;1,2,3,4,5-pentamethylcyclopentane;rhodium Chemical compound [Rh].[O+]#[C-].[O+]#[C-].C[C]1[C](C)[C](C)[C](C)[C]1C WLEZSLNXJZXKGT-UHFFFAOYSA-N 0.000 description 1
- OENLQXWEAUYNBC-UHFFFAOYSA-N carbon monoxide;1,3,5-trimethylbenzene;tungsten Chemical group [W].[O+]#[C-].[O+]#[C-].[O+]#[C-].CC1=CC(C)=CC(C)=C1 OENLQXWEAUYNBC-UHFFFAOYSA-N 0.000 description 1
- 125000004181 carboxyalkyl group Chemical group 0.000 description 1
- 125000005026 carboxyaryl group Chemical group 0.000 description 1
- 150000007942 carboxylates Chemical class 0.000 description 1
- 150000001732 carboxylic acid derivatives Chemical class 0.000 description 1
- 125000002843 carboxylic acid group Chemical group 0.000 description 1
- 150000001735 carboxylic acids Chemical class 0.000 description 1
- 230000015556 catabolic process Effects 0.000 description 1
- 150000004770 chalcogenides Chemical class 0.000 description 1
- 150000008280 chlorinated hydrocarbons Chemical class 0.000 description 1
- CRIVIYPBVUGWSC-UHFFFAOYSA-N chloro(propan-2-yl)silane Chemical compound CC(C)[SiH2]Cl CRIVIYPBVUGWSC-UHFFFAOYSA-N 0.000 description 1
- KWTSZCJMWHGPOS-UHFFFAOYSA-M chloro(trimethyl)stannane Chemical compound C[Sn](C)(C)Cl KWTSZCJMWHGPOS-UHFFFAOYSA-M 0.000 description 1
- JQYKSDDVPXVEOL-UHFFFAOYSA-N chloro-hexyl-dimethylsilane Chemical compound CCCCCC[Si](C)(C)Cl JQYKSDDVPXVEOL-UHFFFAOYSA-N 0.000 description 1
- YGHUUVGIRWMJGE-UHFFFAOYSA-N chlorodimethylsilane Chemical compound C[SiH](C)Cl YGHUUVGIRWMJGE-UHFFFAOYSA-N 0.000 description 1
- AZFVLHQDIIJLJG-UHFFFAOYSA-N chloromethylsilane Chemical compound [SiH3]CCl AZFVLHQDIIJLJG-UHFFFAOYSA-N 0.000 description 1
- AFYPFACVUDMOHA-UHFFFAOYSA-N chlorotrifluoromethane Chemical compound FC(F)(F)Cl AFYPFACVUDMOHA-UHFFFAOYSA-N 0.000 description 1
- 125000002676 chrysenyl group Chemical group C1(=CC=CC=2C3=CC=C4C=CC=CC4=C3C=CC12)* 0.000 description 1
- KFUSEUYYWQURPO-UPHRSURJSA-N cis-1,2-dichloroethene Chemical group Cl\C=C/Cl KFUSEUYYWQURPO-UPHRSURJSA-N 0.000 description 1
- 238000010549 co-Evaporation Methods 0.000 description 1
- 229910017052 cobalt Inorganic materials 0.000 description 1
- 239000010941 cobalt Substances 0.000 description 1
- GUTLYIVDDKVIGB-UHFFFAOYSA-N cobalt atom Chemical compound [Co] GUTLYIVDDKVIGB-UHFFFAOYSA-N 0.000 description 1
- 230000003750 conditioning effect Effects 0.000 description 1
- 239000000356 contaminant Substances 0.000 description 1
- 238000010924 continuous production Methods 0.000 description 1
- 239000013068 control sample Substances 0.000 description 1
- 229910052802 copper Inorganic materials 0.000 description 1
- 230000007797 corrosion Effects 0.000 description 1
- 238000005260 corrosion Methods 0.000 description 1
- 229910052593 corundum Inorganic materials 0.000 description 1
- 230000001351 cycling effect Effects 0.000 description 1
- KZZKOVLJUKWSKX-UHFFFAOYSA-N cyclobutanamine Chemical compound NC1CCC1 KZZKOVLJUKWSKX-UHFFFAOYSA-N 0.000 description 1
- 125000000582 cycloheptyl group Chemical group [H]C1([H])C([H])([H])C([H])([H])C([H])([H])C([H])(*)C([H])([H])C1([H])[H] 0.000 description 1
- FCGFDFIKNFHEHZ-UHFFFAOYSA-N cyclopentane;tungsten dihydride Chemical compound [WH2].[CH]1[CH][CH][CH][CH]1.[CH]1[CH][CH][CH][CH]1 FCGFDFIKNFHEHZ-UHFFFAOYSA-N 0.000 description 1
- 125000002704 decyl group Chemical group [H]C([H])([H])C([H])([H])C([H])([H])C([H])([H])C([H])([H])C([H])([H])C([H])([H])C([H])([H])C([H])([H])C([H])([H])* 0.000 description 1
- 230000007547 defect Effects 0.000 description 1
- 238000006731 degradation reaction Methods 0.000 description 1
- 230000003111 delayed effect Effects 0.000 description 1
- 238000013461 design Methods 0.000 description 1
- ZOCHARZZJNPSEU-UHFFFAOYSA-N diboron Chemical compound B#B ZOCHARZZJNPSEU-UHFFFAOYSA-N 0.000 description 1
- FJBFPHVGVWTDIP-UHFFFAOYSA-N dibromomethane Chemical compound BrCBr FJBFPHVGVWTDIP-UHFFFAOYSA-N 0.000 description 1
- KVBKAPANDHPRDG-UHFFFAOYSA-N dibromotetrafluoroethane Chemical compound FC(F)(Br)C(F)(F)Br KVBKAPANDHPRDG-UHFFFAOYSA-N 0.000 description 1
- DTYWIPLKZHQUMW-UHFFFAOYSA-N dibutyl(diphenyl)stannane Chemical compound C=1C=CC=CC=1[Sn](CCCC)(CCCC)C1=CC=CC=C1 DTYWIPLKZHQUMW-UHFFFAOYSA-N 0.000 description 1
- VILAVOFMIJHSJA-UHFFFAOYSA-N dicarbon monoxide Chemical compound [C]=C=O VILAVOFMIJHSJA-UHFFFAOYSA-N 0.000 description 1
- PKKGKUDPKRTKLJ-UHFFFAOYSA-L dichloro(dimethyl)stannane Chemical compound C[Sn](C)(Cl)Cl PKKGKUDPKRTKLJ-UHFFFAOYSA-L 0.000 description 1
- UWGIJJRGSGDBFJ-UHFFFAOYSA-N dichloromethylsilane Chemical compound [SiH3]C(Cl)Cl UWGIJJRGSGDBFJ-UHFFFAOYSA-N 0.000 description 1
- MROCJMGDEKINLD-UHFFFAOYSA-N dichlorosilane Chemical compound Cl[SiH2]Cl MROCJMGDEKINLD-UHFFFAOYSA-N 0.000 description 1
- 239000003989 dielectric material Substances 0.000 description 1
- ZBCBWPMODOFKDW-UHFFFAOYSA-N diethanolamine Chemical compound OCCNCCO ZBCBWPMODOFKDW-UHFFFAOYSA-N 0.000 description 1
- HPNMFZURTQLUMO-UHFFFAOYSA-N diethylamine Chemical compound CCNCC HPNMFZURTQLUMO-UHFFFAOYSA-N 0.000 description 1
- UCXUKTLCVSGCNR-UHFFFAOYSA-N diethylsilane Chemical compound CC[SiH2]CC UCXUKTLCVSGCNR-UHFFFAOYSA-N 0.000 description 1
- RWRIWBAIICGTTQ-UHFFFAOYSA-N difluoromethane Chemical compound FCF RWRIWBAIICGTTQ-UHFFFAOYSA-N 0.000 description 1
- 125000004639 dihydroindenyl group Chemical group C1(CCC2=CC=CC=C12)* 0.000 description 1
- AIHCVGFMFDEUMO-UHFFFAOYSA-N diiodosilane Chemical compound I[SiH2]I AIHCVGFMFDEUMO-UHFFFAOYSA-N 0.000 description 1
- 229940043279 diisopropylamine Drugs 0.000 description 1
- 125000000118 dimethyl group Chemical group [H]C([H])([H])* 0.000 description 1
- UBHZUDXTHNMNLD-UHFFFAOYSA-N dimethylsilane Chemical compound C[SiH2]C UBHZUDXTHNMNLD-UHFFFAOYSA-N 0.000 description 1
- PWEVMPIIOJUPRI-UHFFFAOYSA-N dimethyltin Chemical compound C[Sn]C PWEVMPIIOJUPRI-UHFFFAOYSA-N 0.000 description 1
- 150000002009 diols Chemical class 0.000 description 1
- PZPGRFITIJYNEJ-UHFFFAOYSA-N disilane Chemical compound [SiH3][SiH3] PZPGRFITIJYNEJ-UHFFFAOYSA-N 0.000 description 1
- 239000006185 dispersion Substances 0.000 description 1
- OGWXFZNXPZTBST-UHFFFAOYSA-N ditert-butyl(chloro)silane Chemical compound CC(C)(C)[SiH](Cl)C(C)(C)C OGWXFZNXPZTBST-UHFFFAOYSA-N 0.000 description 1
- LFLMSLJSSVNEJH-UHFFFAOYSA-N ditert-butyl(silyl)silane Chemical compound CC(C)(C)[SiH]([SiH3])C(C)(C)C LFLMSLJSSVNEJH-UHFFFAOYSA-N 0.000 description 1
- JTGAUXSVQKWNHO-UHFFFAOYSA-N ditert-butylsilicon Chemical compound CC(C)(C)[Si]C(C)(C)C JTGAUXSVQKWNHO-UHFFFAOYSA-N 0.000 description 1
- 125000003438 dodecyl group Chemical group [H]C([H])([H])C([H])([H])C([H])([H])C([H])([H])C([H])([H])C([H])([H])C([H])([H])C([H])([H])C([H])([H])C([H])([H])C([H])([H])C([H])([H])* 0.000 description 1
- 238000001035 drying Methods 0.000 description 1
- 230000009977 dual effect Effects 0.000 description 1
- 239000012636 effector Substances 0.000 description 1
- 238000000572 ellipsometry Methods 0.000 description 1
- 230000007613 environmental effect Effects 0.000 description 1
- 150000002148 esters Chemical class 0.000 description 1
- FWDBOZPQNFPOLF-UHFFFAOYSA-N ethenyl(triethoxy)silane Chemical compound CCO[Si](OCC)(OCC)C=C FWDBOZPQNFPOLF-UHFFFAOYSA-N 0.000 description 1
- 150000002170 ethers Chemical class 0.000 description 1
- 125000000031 ethylamino group Chemical group [H]C([H])([H])C([H])([H])N([H])[*] 0.000 description 1
- LIWAQLJGPBVORC-UHFFFAOYSA-N ethylmethylamine Chemical compound CCNC LIWAQLJGPBVORC-UHFFFAOYSA-N 0.000 description 1
- KCWYOFZQRFCIIE-UHFFFAOYSA-N ethylsilane Chemical compound CC[SiH3] KCWYOFZQRFCIIE-UHFFFAOYSA-N 0.000 description 1
- ILXWFJOFKUNZJA-UHFFFAOYSA-N ethyltellanylethane Chemical compound CC[Te]CC ILXWFJOFKUNZJA-UHFFFAOYSA-N 0.000 description 1
- 230000002349 favourable effect Effects 0.000 description 1
- 238000005111 flow chemistry technique Methods 0.000 description 1
- 125000003914 fluoranthenyl group Chemical group C1(=CC=C2C=CC=C3C4=CC=CC=C4C1=C23)* 0.000 description 1
- 125000003983 fluorenyl group Chemical group C1(=CC=CC=2C3=CC=CC=C3CC12)* 0.000 description 1
- 125000003784 fluoroethyl group Chemical group [H]C([H])(F)C([H])([H])* 0.000 description 1
- NBVXSUQYWXRMNV-UHFFFAOYSA-N fluoromethane Chemical compound FC NBVXSUQYWXRMNV-UHFFFAOYSA-N 0.000 description 1
- 238000009472 formulation Methods 0.000 description 1
- 238000007306 functionalization reaction Methods 0.000 description 1
- VANNPISTIUFMLH-UHFFFAOYSA-N glutaric anhydride Chemical compound O=C1CCCC(=O)O1 VANNPISTIUFMLH-UHFFFAOYSA-N 0.000 description 1
- 150000002334 glycols Chemical class 0.000 description 1
- CBMIPXHVOVTTTL-UHFFFAOYSA-N gold(3+) Chemical compound [Au+3] CBMIPXHVOVTTTL-UHFFFAOYSA-N 0.000 description 1
- 229910052735 hafnium Inorganic materials 0.000 description 1
- VBJZVLUMGGDVMO-UHFFFAOYSA-N hafnium atom Chemical compound [Hf] VBJZVLUMGGDVMO-UHFFFAOYSA-N 0.000 description 1
- 229910000449 hafnium oxide Inorganic materials 0.000 description 1
- WIHZLLGSGQNAGK-UHFFFAOYSA-N hafnium(4+);oxygen(2-) Chemical compound [O-2].[O-2].[Hf+4] WIHZLLGSGQNAGK-UHFFFAOYSA-N 0.000 description 1
- CJNBYAVZURUTKZ-UHFFFAOYSA-N hafnium(IV) oxide Inorganic materials O=[Hf]=O CJNBYAVZURUTKZ-UHFFFAOYSA-N 0.000 description 1
- 125000004404 heteroalkyl group Chemical group 0.000 description 1
- 150000002391 heterocyclic compounds Chemical class 0.000 description 1
- 125000005844 heterocyclyloxy group Chemical group 0.000 description 1
- MUTGBJKUEZFXGO-UHFFFAOYSA-N hexahydrophthalic anhydride Chemical compound C1CCCC2C(=O)OC(=O)C21 MUTGBJKUEZFXGO-UHFFFAOYSA-N 0.000 description 1
- 125000004051 hexyl group Chemical group [H]C([H])([H])C([H])([H])C([H])([H])C([H])([H])C([H])([H])C([H])([H])* 0.000 description 1
- 239000002784 hot electron Substances 0.000 description 1
- 125000001145 hydrido group Chemical group *[H] 0.000 description 1
- 229910000037 hydrogen sulfide Inorganic materials 0.000 description 1
- 230000005661 hydrophobic surface Effects 0.000 description 1
- 125000002768 hydroxyalkyl group Chemical group 0.000 description 1
- 125000005027 hydroxyaryl group Chemical group 0.000 description 1
- 125000004029 hydroxymethyl group Chemical group [H]OC([H])([H])* 0.000 description 1
- 125000004464 hydroxyphenyl group Chemical group 0.000 description 1
- NBZBKCUXIYYUSX-UHFFFAOYSA-N iminodiacetic acid Chemical compound OC(=O)CNCC(O)=O NBZBKCUXIYYUSX-UHFFFAOYSA-N 0.000 description 1
- 238000010348 incorporation Methods 0.000 description 1
- 125000003427 indacenyl group Chemical group 0.000 description 1
- 125000003392 indanyl group Chemical group C1(CCC2=CC=CC=C12)* 0.000 description 1
- 125000003454 indenyl group Chemical group C1(C=CC2=CC=CC=C12)* 0.000 description 1
- 238000002347 injection Methods 0.000 description 1
- 239000007924 injection Substances 0.000 description 1
- 230000001678 irradiating effect Effects 0.000 description 1
- 230000001788 irregular Effects 0.000 description 1
- 125000001261 isocyanato group Chemical group *N=C=O 0.000 description 1
- 125000001972 isopentyl group Chemical group [H]C([H])([H])C([H])(C([H])([H])[H])C([H])([H])C([H])([H])* 0.000 description 1
- JJWLVOIRVHMVIS-UHFFFAOYSA-N isopropylamine Chemical compound CC(C)N JJWLVOIRVHMVIS-UHFFFAOYSA-N 0.000 description 1
- 125000005956 isoquinolyl group Chemical group 0.000 description 1
- 238000012804 iterative process Methods 0.000 description 1
- 125000000468 ketone group Chemical group 0.000 description 1
- 150000007517 lewis acids Chemical class 0.000 description 1
- 125000002463 lignoceryl group Chemical group [H]C([*])([H])C([H])([H])C([H])([H])C([H])([H])C([H])([H])C([H])([H])C([H])([H])C([H])([H])C([H])([H])C([H])([H])C([H])([H])C([H])([H])C([H])([H])C([H])([H])C([H])([H])C([H])([H])C([H])([H])C([H])([H])C([H])([H])C([H])([H])C([H])([H])C([H])([H])C([H])([H])C([H])([H])[H] 0.000 description 1
- FPYJFEHAWHCUMM-UHFFFAOYSA-N maleic anhydride Chemical compound O=C1OC(=O)C=C1 FPYJFEHAWHCUMM-UHFFFAOYSA-N 0.000 description 1
- 238000004949 mass spectrometry Methods 0.000 description 1
- 230000001404 mediated effect Effects 0.000 description 1
- 229910000000 metal hydroxide Inorganic materials 0.000 description 1
- 150000004692 metal hydroxides Chemical class 0.000 description 1
- 238000002488 metal-organic chemical vapour deposition Methods 0.000 description 1
- 229910052752 metalloid Inorganic materials 0.000 description 1
- 150000002738 metalloids Chemical class 0.000 description 1
- 125000000250 methylamino group Chemical group [H]N(*)C([H])([H])[H] 0.000 description 1
- IFVRUKGTKXWWQF-UHFFFAOYSA-N methylaminosilicon Chemical compound CN[Si] IFVRUKGTKXWWQF-UHFFFAOYSA-N 0.000 description 1
- UIUXUFNYAYAMOE-UHFFFAOYSA-N methylsilane Chemical compound [SiH3]C UIUXUFNYAYAMOE-UHFFFAOYSA-N 0.000 description 1
- BFXIKLCIZHOAAZ-UHFFFAOYSA-N methyltrimethoxysilane Chemical compound CO[Si](C)(OC)OC BFXIKLCIZHOAAZ-UHFFFAOYSA-N 0.000 description 1
- 229910052750 molybdenum Inorganic materials 0.000 description 1
- 239000011733 molybdenum Substances 0.000 description 1
- 238000012544 monitoring process Methods 0.000 description 1
- 125000001421 myristyl group Chemical group [H]C([*])([H])C([H])([H])C([H])([H])C([H])([H])C([H])([H])C([H])([H])C([H])([H])C([H])([H])C([H])([H])C([H])([H])C([H])([H])C([H])([H])C([H])([H])C([H])([H])[H] 0.000 description 1
- ULWOJODHECIZAU-UHFFFAOYSA-N n,n-diethylpropan-2-amine Chemical compound CCN(CC)C(C)C ULWOJODHECIZAU-UHFFFAOYSA-N 0.000 description 1
- KMCDRSZVZMXKRL-UHFFFAOYSA-N n-[bis(dimethylamino)boranyl-(dimethylamino)boranyl]-n-methylmethanamine Chemical compound CN(C)B(N(C)C)B(N(C)C)N(C)C KMCDRSZVZMXKRL-UHFFFAOYSA-N 0.000 description 1
- XVDBWWRIXBMVJV-UHFFFAOYSA-N n-[bis(dimethylamino)phosphanyl]-n-methylmethanamine Chemical compound CN(C)P(N(C)C)N(C)C XVDBWWRIXBMVJV-UHFFFAOYSA-N 0.000 description 1
- JZOYMKQPPHOUPB-UHFFFAOYSA-N n-[tert-butyl-bis(diethylamino)stannyl]-n-ethylethanamine Chemical compound CCN(CC)[Sn](N(CC)CC)(N(CC)CC)C(C)(C)C JZOYMKQPPHOUPB-UHFFFAOYSA-N 0.000 description 1
- IFVSPCQTOMZHOP-UHFFFAOYSA-N n-ethyl-n-[tris(diethylamino)stannyl]ethanamine Chemical compound CCN(CC)[Sn](N(CC)CC)(N(CC)CC)N(CC)CC IFVSPCQTOMZHOP-UHFFFAOYSA-N 0.000 description 1
- 125000001280 n-hexyl group Chemical group C(CCCCC)* 0.000 description 1
- HQFPMGPCIKGRON-UHFFFAOYSA-N n-methyl-n-trimethylstannylmethanamine Chemical compound CN(C)[Sn](C)(C)C HQFPMGPCIKGRON-UHFFFAOYSA-N 0.000 description 1
- CATWEXRJGNBIJD-UHFFFAOYSA-N n-tert-butyl-2-methylpropan-2-amine Chemical compound CC(C)(C)NC(C)(C)C CATWEXRJGNBIJD-UHFFFAOYSA-N 0.000 description 1
- XWESXZZECGOXDQ-UHFFFAOYSA-N n-tert-butylhydroxylamine Chemical compound CC(C)(C)NO XWESXZZECGOXDQ-UHFFFAOYSA-N 0.000 description 1
- 239000002120 nanofilm Substances 0.000 description 1
- 125000004957 naphthylene group Chemical group 0.000 description 1
- 229910052759 nickel Inorganic materials 0.000 description 1
- 150000002825 nitriles Chemical class 0.000 description 1
- 229910017464 nitrogen compound Inorganic materials 0.000 description 1
- QGLKJKCYBOYXKC-UHFFFAOYSA-N nonaoxidotritungsten Chemical compound O=[W]1(=O)O[W](=O)(=O)O[W](=O)(=O)O1 QGLKJKCYBOYXKC-UHFFFAOYSA-N 0.000 description 1
- 229910052755 nonmetal Inorganic materials 0.000 description 1
- 125000001400 nonyl group Chemical group [H]C([*])([H])C([H])([H])C([H])([H])C([H])([H])C([H])([H])C([H])([H])C([H])([H])C([H])([H])C([H])([H])[H] 0.000 description 1
- 125000002347 octyl group Chemical group [H]C([*])([H])C([H])([H])C([H])([H])C([H])([H])C([H])([H])C([H])([H])C([H])([H])C([H])([H])[H] 0.000 description 1
- 150000002894 organic compounds Chemical class 0.000 description 1
- 239000013110 organic ligand Substances 0.000 description 1
- 150000002903 organophosphorus compounds Chemical class 0.000 description 1
- 125000001181 organosilyl group Chemical group [SiH3]* 0.000 description 1
- YDLRHWLCYHBMBA-UHFFFAOYSA-N osmium(2+);1,2,3,4,5-pentamethylcyclopenta-1,3-diene Chemical compound [Os+2].CC=1C(C)=C(C)[C-](C)C=1C.CC=1C(C)=C(C)[C-](C)C=1C YDLRHWLCYHBMBA-UHFFFAOYSA-N 0.000 description 1
- TWNQGVIAIRXVLR-UHFFFAOYSA-N oxo(oxoalumanyloxy)alumane Chemical compound O=[Al]O[Al]=O TWNQGVIAIRXVLR-UHFFFAOYSA-N 0.000 description 1
- 125000001820 oxy group Chemical group [*:1]O[*:2] 0.000 description 1
- RVTZCBVAJQQJTK-UHFFFAOYSA-N oxygen(2-);zirconium(4+) Chemical compound [O-2].[O-2].[Zr+4] RVTZCBVAJQQJTK-UHFFFAOYSA-N 0.000 description 1
- MUJIDPITZJWBSW-UHFFFAOYSA-N palladium(2+) Chemical compound [Pd+2] MUJIDPITZJWBSW-UHFFFAOYSA-N 0.000 description 1
- 125000000913 palmityl group Chemical group [H]C([*])([H])C([H])([H])C([H])([H])C([H])([H])C([H])([H])C([H])([H])C([H])([H])C([H])([H])C([H])([H])C([H])([H])C([H])([H])C([H])([H])C([H])([H])C([H])([H])C([H])([H])C([H])([H])[H] 0.000 description 1
- 239000002245 particle Substances 0.000 description 1
- 150000002978 peroxides Chemical class 0.000 description 1
- 230000002085 persistent effect Effects 0.000 description 1
- 125000005561 phenanthryl group Chemical group 0.000 description 1
- 125000005562 phenanthrylene group Chemical group 0.000 description 1
- 125000000843 phenylene group Chemical group C1(=C(C=CC=C1)*)* 0.000 description 1
- 235000021317 phosphate Nutrition 0.000 description 1
- 150000003013 phosphoric acid derivatives Chemical class 0.000 description 1
- 229910052698 phosphorus Inorganic materials 0.000 description 1
- 239000011574 phosphorus Substances 0.000 description 1
- 230000000704 physical effect Effects 0.000 description 1
- 125000001388 picenyl group Chemical group C1(=CC=CC2=CC=C3C4=CC=C5C=CC=CC5=C4C=CC3=C21)* 0.000 description 1
- 238000009832 plasma treatment Methods 0.000 description 1
- 238000009428 plumbing Methods 0.000 description 1
- 229920000548 poly(silane) polymer Polymers 0.000 description 1
- 125000003367 polycyclic group Chemical group 0.000 description 1
- 229920005862 polyol Polymers 0.000 description 1
- 150000003077 polyols Chemical class 0.000 description 1
- 230000008092 positive effect Effects 0.000 description 1
- DNAJDTIOMGISDS-UHFFFAOYSA-N prop-2-enylsilane Chemical compound [SiH3]CC=C DNAJDTIOMGISDS-UHFFFAOYSA-N 0.000 description 1
- BDERNNFJNOPAEC-UHFFFAOYSA-N propan-1-ol Chemical compound CCCO BDERNNFJNOPAEC-UHFFFAOYSA-N 0.000 description 1
- YYVGYULIMDRZMJ-UHFFFAOYSA-N propan-2-ylsilane Chemical compound CC(C)[SiH3] YYVGYULIMDRZMJ-UHFFFAOYSA-N 0.000 description 1
- 239000001294 propane Substances 0.000 description 1
- QQONPFPTGQHPMA-UHFFFAOYSA-N propylene Natural products CC=C QQONPFPTGQHPMA-UHFFFAOYSA-N 0.000 description 1
- ASRAWSBMDXVNLX-UHFFFAOYSA-N pyrazolynate Chemical compound C=1C=C(Cl)C=C(Cl)C=1C(=O)C=1C(C)=NN(C)C=1OS(=O)(=O)C1=CC=C(C)C=C1 ASRAWSBMDXVNLX-UHFFFAOYSA-N 0.000 description 1
- 125000001725 pyrenyl group Chemical group 0.000 description 1
- 150000003222 pyridines Chemical class 0.000 description 1
- 239000010453 quartz Substances 0.000 description 1
- 125000005493 quinolyl group Chemical group 0.000 description 1
- 239000012495 reaction gas Substances 0.000 description 1
- 230000001105 regulatory effect Effects 0.000 description 1
- 238000012827 research and development Methods 0.000 description 1
- 230000000284 resting effect Effects 0.000 description 1
- 238000012552 review Methods 0.000 description 1
- 125000006413 ring segment Chemical group 0.000 description 1
- 238000007788 roughening Methods 0.000 description 1
- 150000003839 salts Chemical class 0.000 description 1
- 239000000523 sample Substances 0.000 description 1
- BHRZNVHARXXAHW-UHFFFAOYSA-N sec-butylamine Chemical compound CCC(C)N BHRZNVHARXXAHW-UHFFFAOYSA-N 0.000 description 1
- 125000003548 sec-pentyl group Chemical group [H]C([H])([H])C([H])([H])C([H])([H])C([H])(*)C([H])([H])[H] 0.000 description 1
- VSZWPYCFIRKVQL-UHFFFAOYSA-N selanylidenegallium;selenium Chemical compound [Se].[Se]=[Ga].[Se]=[Ga] VSZWPYCFIRKVQL-UHFFFAOYSA-N 0.000 description 1
- 150000003958 selenols Chemical class 0.000 description 1
- 238000000926 separation method Methods 0.000 description 1
- VUEONHALRNZYJM-UHFFFAOYSA-N silanetetramine Chemical compound N[Si](N)(N)N VUEONHALRNZYJM-UHFFFAOYSA-N 0.000 description 1
- FDNAPBUWERUEDA-UHFFFAOYSA-N silicon tetrachloride Chemical compound Cl[Si](Cl)(Cl)Cl FDNAPBUWERUEDA-UHFFFAOYSA-N 0.000 description 1
- CFTHARXEQHJSEH-UHFFFAOYSA-N silicon tetraiodide Chemical compound I[Si](I)(I)I CFTHARXEQHJSEH-UHFFFAOYSA-N 0.000 description 1
- OLRJXMHANKMLTD-UHFFFAOYSA-N silyl Chemical compound [SiH3] OLRJXMHANKMLTD-UHFFFAOYSA-N 0.000 description 1
- 229910021384 soft carbon Inorganic materials 0.000 description 1
- 230000006641 stabilisation Effects 0.000 description 1
- 238000011105 stabilization Methods 0.000 description 1
- 229910001220 stainless steel Inorganic materials 0.000 description 1
- 239000010935 stainless steel Substances 0.000 description 1
- KXCAEQNNTZANTK-UHFFFAOYSA-N stannane Chemical compound [SnH4] KXCAEQNNTZANTK-UHFFFAOYSA-N 0.000 description 1
- ANOBYBYXJXCGBS-UHFFFAOYSA-L stannous fluoride Chemical compound F[Sn]F ANOBYBYXJXCGBS-UHFFFAOYSA-L 0.000 description 1
- 125000005156 substituted alkylene group Chemical group 0.000 description 1
- 229940014800 succinic anhydride Drugs 0.000 description 1
- 125000000472 sulfonyl group Chemical group *S(*)(=O)=O 0.000 description 1
- BZWKPZBXAMTXNQ-UHFFFAOYSA-N sulfurocyanidic acid Chemical compound OS(=O)(=O)C#N BZWKPZBXAMTXNQ-UHFFFAOYSA-N 0.000 description 1
- 230000008093 supporting effect Effects 0.000 description 1
- 239000002344 surface layer Substances 0.000 description 1
- 238000006557 surface reaction Methods 0.000 description 1
- 230000003746 surface roughness Effects 0.000 description 1
- 238000004381 surface treatment Methods 0.000 description 1
- 230000001360 synchronised effect Effects 0.000 description 1
- 230000009044 synergistic interaction Effects 0.000 description 1
- JBQYATWDVHIOAR-UHFFFAOYSA-N tellanylidenegermanium Chemical compound [Te]=[Ge] JBQYATWDVHIOAR-UHFFFAOYSA-N 0.000 description 1
- LAJZODKXOMJMPK-UHFFFAOYSA-N tellurium dioxide Chemical compound O=[Te]=O LAJZODKXOMJMPK-UHFFFAOYSA-N 0.000 description 1
- TULWUZJYDBGXMY-UHFFFAOYSA-N tellurophene Chemical compound [Te]1C=CC=C1 TULWUZJYDBGXMY-UHFFFAOYSA-N 0.000 description 1
- UTYRQCFTOYUATF-UHFFFAOYSA-N tert-butyl(chloro)silane Chemical compound CC(C)(C)[SiH2]Cl UTYRQCFTOYUATF-UHFFFAOYSA-N 0.000 description 1
- IPGXXWZOPBFRIZ-UHFFFAOYSA-N tert-butyl(silyl)silane Chemical compound CC(C)(C)[SiH2][SiH3] IPGXXWZOPBFRIZ-UHFFFAOYSA-N 0.000 description 1
- YBRBMKDOPFTVDT-UHFFFAOYSA-N tert-butylamine Chemical compound CC(C)(C)N YBRBMKDOPFTVDT-UHFFFAOYSA-N 0.000 description 1
- BCNZYOJHNLTNEZ-UHFFFAOYSA-N tert-butyldimethylsilyl chloride Chemical compound CC(C)(C)[Si](C)(C)Cl BCNZYOJHNLTNEZ-UHFFFAOYSA-N 0.000 description 1
- KNSVRQSOPKYFJN-UHFFFAOYSA-N tert-butylsilicon Chemical compound CC(C)(C)[Si] KNSVRQSOPKYFJN-UHFFFAOYSA-N 0.000 description 1
- YOUIDGQAIILFBW-UHFFFAOYSA-J tetrachlorotungsten Chemical class Cl[W](Cl)(Cl)Cl YOUIDGQAIILFBW-UHFFFAOYSA-J 0.000 description 1
- TXEYQDLBPFQVAA-UHFFFAOYSA-N tetrafluoromethane Chemical compound FC(F)(F)F TXEYQDLBPFQVAA-UHFFFAOYSA-N 0.000 description 1
- XRXPBLNWIMLYNO-UHFFFAOYSA-J tetrafluorotungsten Chemical class F[W](F)(F)F XRXPBLNWIMLYNO-UHFFFAOYSA-J 0.000 description 1
- 125000001712 tetrahydronaphthyl group Chemical group C1(CCCC2=CC=CC=C12)* 0.000 description 1
- MZIYQMVHASXABC-UHFFFAOYSA-N tetrakis(ethenyl)stannane Chemical compound C=C[Sn](C=C)(C=C)C=C MZIYQMVHASXABC-UHFFFAOYSA-N 0.000 description 1
- XJPKDRJZNZMJQM-UHFFFAOYSA-N tetrakis(prop-2-enyl)stannane Chemical compound C=CC[Sn](CC=C)(CC=C)CC=C XJPKDRJZNZMJQM-UHFFFAOYSA-N 0.000 description 1
- 150000003573 thiols Chemical class 0.000 description 1
- 150000003606 tin compounds Chemical class 0.000 description 1
- 229910000083 tin tetrahydride Inorganic materials 0.000 description 1
- OGIDPMRJRNCKJF-UHFFFAOYSA-N titanium oxide Inorganic materials [Ti]=O OGIDPMRJRNCKJF-UHFFFAOYSA-N 0.000 description 1
- KFUSEUYYWQURPO-OWOJBTEDSA-N trans-1,2-dichloroethene Chemical group Cl\C=C\Cl KFUSEUYYWQURPO-OWOJBTEDSA-N 0.000 description 1
- YFRLQYJXUZRYDN-UHFFFAOYSA-K trichloro(methyl)stannane Chemical compound C[Sn](Cl)(Cl)Cl YFRLQYJXUZRYDN-UHFFFAOYSA-K 0.000 description 1
- ZDHXKXAHOVTTAH-UHFFFAOYSA-N trichlorosilane Chemical compound Cl[SiH](Cl)Cl ZDHXKXAHOVTTAH-UHFFFAOYSA-N 0.000 description 1
- 239000005052 trichlorosilane Substances 0.000 description 1
- RNVJQUPAEIQUTC-UHFFFAOYSA-N tricyclohexyltin Chemical compound C1CCCCC1[Sn](C1CCCCC1)C1CCCCC1 RNVJQUPAEIQUTC-UHFFFAOYSA-N 0.000 description 1
- ALVYUZIFSCKIFP-UHFFFAOYSA-N triethoxy(2-methylpropyl)silane Chemical compound CCO[Si](CC(C)C)(OCC)OCC ALVYUZIFSCKIFP-UHFFFAOYSA-N 0.000 description 1
- DENFJSAFJTVPJR-UHFFFAOYSA-N triethoxy(ethyl)silane Chemical compound CCO[Si](CC)(OCC)OCC DENFJSAFJTVPJR-UHFFFAOYSA-N 0.000 description 1
- QQQSFSZALRVCSZ-UHFFFAOYSA-N triethoxysilane Chemical compound CCO[SiH](OCC)OCC QQQSFSZALRVCSZ-UHFFFAOYSA-N 0.000 description 1
- AJSTXXYNEIHPMD-UHFFFAOYSA-N triethyl borate Chemical compound CCOB(OCC)OCC AJSTXXYNEIHPMD-UHFFFAOYSA-N 0.000 description 1
- DQWPFSLDHJDLRL-UHFFFAOYSA-N triethyl phosphate Chemical compound CCOP(=O)(OCC)OCC DQWPFSLDHJDLRL-UHFFFAOYSA-N 0.000 description 1
- JGOJQVLHSPGMOC-UHFFFAOYSA-N triethyl stiborite Chemical compound [Sb+3].CC[O-].CC[O-].CC[O-] JGOJQVLHSPGMOC-UHFFFAOYSA-N 0.000 description 1
- LALRXNPLTWZJIJ-UHFFFAOYSA-N triethylborane Chemical compound CCB(CC)CC LALRXNPLTWZJIJ-UHFFFAOYSA-N 0.000 description 1
- VPAYJEUHKVESSD-UHFFFAOYSA-N trifluoroiodomethane Chemical compound FC(F)(F)I VPAYJEUHKVESSD-UHFFFAOYSA-N 0.000 description 1
- 125000000876 trifluoromethoxy group Chemical group FC(F)(F)O* 0.000 description 1
- 125000004952 trihaloalkoxy group Chemical group 0.000 description 1
- DNAPJAGHXMPFLD-UHFFFAOYSA-N triiodosilane Chemical compound I[SiH](I)I DNAPJAGHXMPFLD-UHFFFAOYSA-N 0.000 description 1
- ZNOCGWVLWPVKAO-UHFFFAOYSA-N trimethoxy(phenyl)silane Chemical compound CO[Si](OC)(OC)C1=CC=CC=C1 ZNOCGWVLWPVKAO-UHFFFAOYSA-N 0.000 description 1
- TUQLLQQWSNWKCF-UHFFFAOYSA-N trimethoxymethylsilane Chemical compound COC([SiH3])(OC)OC TUQLLQQWSNWKCF-UHFFFAOYSA-N 0.000 description 1
- YUYCVXFAYWRXLS-UHFFFAOYSA-N trimethoxysilane Chemical compound CO[SiH](OC)OC YUYCVXFAYWRXLS-UHFFFAOYSA-N 0.000 description 1
- WRECIMRULFAWHA-UHFFFAOYSA-N trimethyl borate Chemical compound COB(OC)OC WRECIMRULFAWHA-UHFFFAOYSA-N 0.000 description 1
- WVLBCYQITXONBZ-UHFFFAOYSA-N trimethyl phosphate Chemical compound COP(=O)(OC)OC WVLBCYQITXONBZ-UHFFFAOYSA-N 0.000 description 1
- QYYZHXHYNLXWAW-UHFFFAOYSA-N trimethyl(2-phenylethynyl)stannane Chemical compound C[Sn](C)(C)C#CC1=CC=CC=C1 QYYZHXHYNLXWAW-UHFFFAOYSA-N 0.000 description 1
- COHOGNZHAUOXPA-UHFFFAOYSA-N trimethyl(phenyl)stannane Chemical compound C[Sn](C)(C)C1=CC=CC=C1 COHOGNZHAUOXPA-UHFFFAOYSA-N 0.000 description 1
- WXRGABKACDFXMG-UHFFFAOYSA-N trimethylborane Chemical compound CB(C)C WXRGABKACDFXMG-UHFFFAOYSA-N 0.000 description 1
- MXSVLWZRHLXFKH-UHFFFAOYSA-N triphenylborane Chemical compound C1=CC=CC=C1B(C=1C=CC=CC=1)C1=CC=CC=C1 MXSVLWZRHLXFKH-UHFFFAOYSA-N 0.000 description 1
- 125000005580 triphenylene group Chemical group 0.000 description 1
- UAPQJVJCJITJET-UHFFFAOYSA-N triphenyltin Chemical compound C1=CC=CC=C1[Sn](C=1C=CC=CC=1)C1=CC=CC=C1.C1=CC=CC=C1[Sn](C=1C=CC=CC=1)C1=CC=CC=C1 UAPQJVJCJITJET-UHFFFAOYSA-N 0.000 description 1
- NHDIQVFFNDKAQU-UHFFFAOYSA-N tripropan-2-yl borate Chemical compound CC(C)OB(OC(C)C)OC(C)C NHDIQVFFNDKAQU-UHFFFAOYSA-N 0.000 description 1
- VEDJZFSRVVQBIL-UHFFFAOYSA-N trisilane Chemical compound [SiH3][SiH2][SiH3] VEDJZFSRVVQBIL-UHFFFAOYSA-N 0.000 description 1
- VOSJXMPCFODQAR-UHFFFAOYSA-N trisilylamine group Chemical group [SiH3]N([SiH3])[SiH3] VOSJXMPCFODQAR-UHFFFAOYSA-N 0.000 description 1
- KPGXUAIFQMJJFB-UHFFFAOYSA-H tungsten hexachloride Chemical compound Cl[W](Cl)(Cl)(Cl)(Cl)Cl KPGXUAIFQMJJFB-UHFFFAOYSA-H 0.000 description 1
- 229910001930 tungsten oxide Inorganic materials 0.000 description 1
- 229910001845 yogo sapphire Inorganic materials 0.000 description 1
- 229910001928 zirconium oxide Inorganic materials 0.000 description 1
Classifications
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/02104—Forming layers
- H01L21/02107—Forming insulating materials on a substrate
- H01L21/02109—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
- H01L21/02112—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
- H01L21/02115—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material being carbon, e.g. alpha-C, diamond or hydrogen doped carbon
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
-
- G—PHYSICS
- G03—PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
- G03F—PHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
- G03F7/00—Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
- G03F7/004—Photosensitive materials
- G03F7/09—Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers
- G03F7/091—Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers characterised by antireflection means or light filtering or absorbing means, e.g. anti-halation, contrast enhancement
-
- G—PHYSICS
- G03—PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
- G03F—PHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
- G03F7/00—Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
- G03F7/004—Photosensitive materials
- G03F7/09—Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers
- G03F7/11—Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers having cover layers or intermediate layers, e.g. subbing layers
-
- G—PHYSICS
- G03—PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
- G03F—PHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
- G03F7/00—Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
- G03F7/26—Processing photosensitive materials; Apparatus therefor
- G03F7/38—Treatment before imagewise removal, e.g. prebaking
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/02104—Forming layers
- H01L21/02107—Forming insulating materials on a substrate
- H01L21/02225—Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
- H01L21/0226—Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
- H01L21/02263—Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
- H01L21/02271—Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
- H01L21/02274—Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/027—Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
- H01L21/033—Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
- H01L21/0332—Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their composition, e.g. multilayer masks, materials
Definitions
- Reactive moieties may be released from the underlayer’s activatable bonds in the presence of heat, oxidizing gases and/or inert gases into the imaging layer above. Their interactions within the imaging layer cause desirable reactions such as cross-linking which have many benefits including reduction of dose to size and increased adhesion. Synergistic results are obtained with use of the underlayers when a post exposure bake is performed subsequent to EUV exposure. Post-development, scum and roughness may also be decreased.
- Various embodiments herein relate to the underlayers, methods of optimizing their use, patterning structures and apparatuses for depositing an underlayer on a substrate.
- the present invention encompasses a method of optimizing formation of a patterning structure.
- the method includes [0007] providing a substrate; selecting a carbon-containing underlayer having activatable moieties for deposition on the substrate, wherein the underlayer is selected to generate reactive species upon activation by heating, treatment with an oxidizing gas, treatment with an inert gas or a combination thereof; depositing the selected carbon-containing underlayer on the substrate; and forming a film of a radiation-sensitive imaging layer on the selected underlayer; whereby interaction of the reactive species with the film reduces a radiation dose for effective photoresist exposure of a patterning structure.
- the activatable moieties include hydroxyl groups, carboxyl groups, peroxy groups, sp 2 carbons, sp carbons, unsaturated carbon-containing bonds, allylic C-H bonds, ether alpha C-H bonds, vinyl C-H bonds, aldehyde C-H bonds, tertiary C-H bonds, benzylic C-H bonds, ketone alpha C-H bonds or a combination thereof.
- the carbon-containing underlayer includes a carbon- containing doped film.
- the carbon-containing doped film is doped with a halogen, a metal, an organometallic complex, hydrogen, oxygen or a combination thereof.
- the metal includes antimony, tin, bismuth, indium, tellurium, gold, platinum, palladium, osmium, iridium, titanium, ruthenium, rhodium, silver, tungsten or a combination thereof.
- the organometallic complex is an organometallic complex with oxidizable metal-carbon bonds.
- heating includes heating to a temperature of from about 100 oC to 250 oC.
- the oxidizing gas includes chlorine, nitric oxide, nitrogen dioxide, carbon monoxide, carbon dioxide, ozone, oxygen or a combination thereof.
- the oxidizing gas is supplied in an inert gas as an oxidative gas mixture.
- the oxidizing gas is supplied in an amount from about 10% to about 100% of the oxidative gas mixture.
- 11151-1WO_LAMRP876WO 2 [0017]
- the inert gas is helium, neon, argon, krypton, xenon, radon, nitrogen or a combination thereof.
- the carbon-containing doped film is doped with a halogen, antimony, tin, indium, tellurium or bismuth, and the activation is heating.
- the activatable moieties include sp 2 carbons, sp carbons, unsaturated carbon-containing bonds, allylic C-H bonds, ether alpha C-H bonds, vinyl C-H bonds, tertiary C-H bonds, benzylic C-H bonds or a combination thereof; and the activation is heating and treatment with an oxidizing gas.
- the present invention encompasses a method of making a patterning structure.
- the method includes providing a substrate; depositing a bake sensitive underlayer on the substrate; forming a film of a radiation-sensitive imaging layer on the bake sensitive underlayer; exposing the film to Extreme Ultraviolet radiation to make a film having exposed regions and unexposed regions; baking the film having exposed regions and unexposed regions to activate the bake sensitive underlayer to generate reactive species, wherein the reactive species generated in the bake sensitive underlayer preferentially interact with the exposed regions to form exposed cross-linked regions; and developing the film having exposed cross-linked regions and unexposed regions; whereby the interaction of the reactive species with the exposed regions reduces a radiation dose for effective photoresist exposure of a patterning structure; and wherein exposed cross-linked regions increase contrast between exposed regions and unexposed regions.
- the present invention encompasses a method of making a patterning structure.
- the method includes providing a substrate; depositing a bake sensitive underlayer comprising a top underlayer surface and a bottom underlayer surface on the substrate; forming a film having a radiation-sensitive imaging layer on the top underlayer surface of the bake sensitive underlayer; and baking the film to activate the bake sensitive underlayer to generate reactive species, wherein the reactive species generated in the bake sensitive underlayer interact with the film; whereby interaction of the reactive species with the film reduces a radiation dose for effective photoresist of a patterning structure.
- the present invention encompasses a method of making a patterning structure.
- the method includes providing a substrate; depositing a bake sensitive underlayer on the substrate; forming a film having a radiation- sensitive imaging layer on the bake sensitive underlayer; exposing the film to Extreme Ultraviolet radiation to make an exposed film; and baking the exposed film to activate the bake sensitive underlayer to generate reactive species, wherein the reactive species generated in the bake sensitive underlayer interact with the exposed film; whereby interaction of the reactive species with the exposed film reduces a radiation dose for effective photoresist exposure of a patterning structure.
- the baking includes heating, contacting the film with an inert gas, contacting the film with an oxidizing gas or a combination thereof.
- the reactive species interact with the exposed film to promote cross-linking of the exposed film.
- the reactive species is an oxygen-containing reactive species.
- baking includes heating to a temperature of from about 75 oC to 280 oC.
- the oxidizing gas includes chlorine, nitric oxide, nitrogen dioxide, carbon monoxide, carbon dioxide, hydrogen peroxide, ozone, oxygen or a combination thereof.
- the oxidizing gas is supplied in an inert gas as an oxidative gas mixture.
- the oxidizing gas is supplied in an amount from about 10% to about 100% of the oxidative gas mixture.
- the method also includes an increase in adhesion between the substrate and the radiation sensitive imaging layer resulting from the interaction of the reactive species with the exposed film.
- the inert gas includes helium, neon, argon, krypton, xenon, radon, nitrogen or a combination thereof.
- the bake sensitive underlayer is a carbon-containing film or a silicon-containing film.
- the bake sensitive underlayer is a carbon-containing film.
- the bake-sensitive underlayer has activatable moieties, the activatable moieties including hydroxyl groups, carboxyl groups, peroxy groups, sp 2 carbons, sp carbons, unsaturated carbon-containing bonds, allylic C-H bonds, ether alpha C-H bonds, vinyl C-H bonds, aldehyde C-H bonds, tertiary C-H bonds, benzylic C-H bonds, ketone alpha C-H bonds or a combination thereof.
- the bake sensitive underlayer includes a carbon-containing doped film or a silicon-containing doped film.
- the carbon-containing doped film is doped with a halogen, a metal, an organometallic complex, hydrogen, oxygen or a combination thereof.
- the metal includes antimony, tin, bismuth, indium, tellurium, gold, platinum, palladium, osmium, iridium, titanium, ruthenium, rhodium, silver, tungsten or a combination thereof.
- the organometallic complex includes an organometallic complex with oxidizable metal-carbon bonds.
- the organometallic complex with oxidizable metal-carbon bonds is organoruthenium, organoplatinum, organopalladium, organoiridium, organogold, organoosmium or organorhodium.
- the silicon-containing doped film is doped with a halogen, a metal, carbon, hydrogen, oxygen or a combination thereof.
- the bake sensitive underlayer has a thickness of no more than 60 nm.
- the reactive species include peroxy radicals, hydroperoxy radicals, oxy radicals, hydroxy radicals, hydrogen radicals, formate radicals, iodine radicals, carbon dioxide, carbon monoxide, water, iodine, hydrogen iodide, hydrogen antimonide, hydrogen telluride, bismuthine, formate anions, superoxide anions, or a combination thereof.
- the substrate is a partially fabricated semiconductor device film stack; the substrate further includes or is a hardmask, amorphous carbon film, amorphous hydrogenated carbon film, silicon oxide film, silicon nitride film, silicon oxynitride film, silicon carbide film, silicon boronitride film, amorphous silicon film, polysilicon film, or a 11151-1WO_LAMRP876WO 5 combination thereof; the radiation-sensitive imaging layer is a tin oxide-based photoresist or a tin oxide hydroxide-based photoresist; and the bake sensitive underlayer is a vapor deposited film of hydrogenated carbon doped with oxygen (O), silicon (Si), nitrogen (N), tungsten (W), boron (B), iodine (I), chlorine (Cl), or a combination of two or more of any of these, wherein the film has a thickness of no more than 60 nm.
- the substrate further includes or is a hardmask, amorphous carbon film, amorphous
- the bake sensitive underlayer is vapor deposited on the substrate using a hydrocarbon precursor in a presence or an absence of an oxocarbon precursor, thereby providing a carbon-containing film; and optionally wherein the oxocarbon precursor co-reacts with hydrogen (H 2 ) or a hydrocarbon and optionally further co-reacts with a silicon (Si) source dopant.
- the hydrocarbon precursor is an alkane, an alkene, or an alkyne.
- the bake sensitive underlayer is vapor deposited using the hydrocarbon precursor in a presence of a nitrogen-containing precursor, a tungsten-containing precursor, a boron-containing precursor, a chlorine-containing precursor, a bromine-containing precursor, a fluorine-containing precursor, an iodine-containing precursor, a platinum- containing precursor, a ruthenium-containing precursor, an iridium-containing precursor, a gold-containing precursor, a palladium-containing precursor, a rhodium-containing precursor, an osmium-containing precursor, an antimony-containing precursor, an indium-containing precursor, a bismuth-containing precursor, a tellurium-containing precursor, a tin-containing precursor, a silver-containing precursor, a titanium-containing precursor or a combination thereof, thereby providing a doped film.
- the doped film includes iodine; a combination of iodine and silicon; or a combination of iodine, silicon, and nitrogen.
- the bake sensitive underlayer is vapor deposited on the substrate by using a silicon-containing precursor that co-reacts with an oxidizer and wherein the silicon-containing precursor optionally further co-reacts with a carbon (C) source dopant.
- the bake sensitive underlayer is vapor deposited on the substrate by plasma enhanced chemical vapor deposition as a termination operation of a vapor deposition on the substrate.
- the bake sensitive underlayer is vapor deposited on the substrate by plasma enhanced chemical vapor deposition or atomic layer deposition.
- the method also includes modifying the underlayer to provide a roughened surface and optionally exposing the underlayer or the roughened surface to an oxygen-containing plasma to provide an oxygen-containing surface after depositing.
- the present invention encompasses a patterning structure.
- the patterning structure includes a radiation-sensitive imaging layer disposed over a substrate; and a bake sensitive underlayer disposed between the substrate and the imaging layer, the bake sensitive underlayer is configured to reduce a radiation dose for effective photoresist exposure of the imaging layer.
- the bake sensitive underlayer is a carbon-containing film or a silicon-containing film.
- the bake sensitive underlayer is a carbon-containing film.
- the carbon-containing film includes hydroxyl groups, carboxyl groups, peroxy groups, sp 2 carbons, sp carbons, unsaturated carbon-containing bonds, allylic C-H bonds, ether alpha C-H bonds, vinyl C-H bonds, aldehyde C-H bonds, tertiary C-H bonds, benzylic C-H bonds, ketone alpha C-H bonds or a combination thereof.
- the bake sensitive underlayer is a carbon-containing doped film or a silicon-containing doped film.
- the carbon-containing doped film is doped with a halogen, a metal, an organometallic complex, hydrogen, oxygen or a combination thereof.
- the metal is antimony, tin, bismuth, indium, tellurium, gold, platinum, palladium, osmium, iridium, titanium, ruthenium, rhodium, silver, tungsten or a combination thereof.
- the organometallic complex is an organometallic complex with oxidizable metal-carbon bonds.
- the organometallic complex with oxidizable metal-carbon bonds is organoruthenium, organoplatinum, organopalladium, organoiridium, organogold, organoosmium or organorhodium. 11151-1WO_LAMRP876WO 7
- the silicon-containing doped film is doped with a halogen, a metal, carbon, hydrogen or a combination thereof.
- the carbon-containing doped film is from about 0.01 to 20 atomic percent of dopant.
- the imaging layer includes an Extreme Ultraviolet-sensitive inorganic photoresist layer, a chemical vapor deposited film, a spin-on film, a tin oxide film, or a tin oxide hydroxide film.
- the substrate is or includes a hardmask, amorphous carbon film, amorphous carbon film doped with boron (B), amorphous carbon film doped with tungsten (W), amorphous hydrogenated carbon film, silicon oxide film, silicon nitride film, silicon oxynitride film, silicon carbide film, silicon boronitride film, amorphous silicon film, polysilicon film, or a combination thereof.
- the bake sensitive underlayer has a thickness of about 2 to 60 nm.
- the bake sensitive underlayer has a density of about 0.7 to 2.9 g/cm 3 ; optionally wherein the underlayer further provides increased etch selectivity; and optionally wherein the underlayer further provides decreased line edge and line width roughness and/or decreased dose to size.
- the present invention encompasses a spin-coating method.
- the method includes spin-coating an organometallic imaging layer on a bake sensitive underlayer on a substrate; wherein the bake sensitive underlayer is configured to reduce a radiation dose for effective photoresist exposure of the organometallic imaging layer.
- the method also includes exposing the organometallic imaging layer to Extreme Ultraviolet radiation. [0069] In some embodiments, the method also includes developing the organometallic imaging layer using wet development after exposing the organometallic imaging layer to Extreme Ultraviolet radiation. [0070] In some embodiments, the wet development is performed using an alkaline developer, an ammonium-based ionic liquid, a glycol ether, an organic acid, a ketone, or an alcohol.
- the wet development is performed using tetramethylammonium hydroxide, propylene glycol methyl ether, propylene glycol methyl ether acetate, 2-heptanone, ethanol or a combination thereof.
- the method also includes performing a post-application bake at a temperature below 250°C after spin-coating.
- the method also includes performing a post-exposure bake at a temperature below 280°C.
- the method also includes performing a post-development bake at a temperature below 280°C.
- the bake sensitive underlayer is provided by plasma enhanced chemical vapor deposition.
- the organometallic imaging layer includes organotin.
- the organometallic imaging layer includes organozirconium, organoantimony, organozinc, organohafnium, organozinc, organotellurium, organoindium or a combination thereof.
- the method also includes providing a hard mask between the substrate and the bake sensitive underlayer.
- the hard mask is an ashable hard mask.
- the bake sensitive underlayer includes hydrogenated carbon.
- the bake sensitive underlayer includes hydrogenated carbon doped with oxygen (O), silicon (Si), nitrogen (N), tungsten (W), boron (B), iodine (I), chlorine (Cl), bromine (Br), fluorine (F), platinum, ruthenium, iridium, gold, palladium, rhodium, osmium, antimony, indium, bismuth, tellurium, tin, silver, titanium or a combination of two or more of any of these; and optionally wherein the hydrogenated carbon doped with iodine is configured to improve generation of secondary electrons upon exposure to radiation.
- the bake sensitive underlayer has a density of about 0.7 to 2.9 g/cm 3 ; optionally wherein the bake sensitive underlayer further provides increased etch selectivity; and optionally wherein the bake sensitive underlayer further provides decreased line edge and line width roughness and/or decreased dose to size.
- 11151-1WO_LAMRP876WO 9 the present invention encompasses a method.
- the method includes providing a bake sensitive underlayer on a substrate, the bake sensitive underlayer comprises a vapor deposited film of hydrogenated carbon; spin- coating an organotin imaging layer on the bake sensitive underlayer; exposing the organotin imaging layer to Extreme Ultraviolet radiation; and developing the organotin imaging layer using wet development.
- the wet development is performed using an alkaline developer, an ammonium-based ionic liquid, a glycol ether, an organic acid, a ketone, or an alcohol.
- the wet development is performed using tetramethylammonium hydroxide, propylene glycol methyl ether, propylene glycol methyl ether acetate, 2-heptanone, ethanol or a combination thereof.
- the method also includes performing a post-application bake at a temperature below 250°C after spin coating.
- the method also includes performing a post-exposure bake at a temperature below 250°C after exposing.
- the method also includes performing a post-development bake at a temperature below 250°C after developing.
- the bake sensitive underlayer is provided by plasma enhanced chemical vapor deposition.
- the method also includes providing an ashable hard mask between the substrate and the underlayer after spin-coating.
- the carbon-containing doped film is an organometallic complex doped carbon-containing film, the organometallic complex doped carbon-containing film formed by vapor deposition of a carbon-containing film precursor and an organometallic complex.
- the carbon-containing doped film is an organometallic complex doped carbon-containing film, the organometallic complex doped carbon-containing film formed by alternating deposition of a carbon-containing film precursor and an organometallic complex.
- the carbon-containing doped film is an organometallic complex doped carbon-containing film, the organometallic complex doped carbon-containing film formed by soaking the deposited bake sensitive underlayer in a solution of an organometallic complex.
- the organometallic complex doped carbon-containing film includes a layer of organometallic complex over the bake sensitive underlayer.
- the organometallic complex doped carbon-containing film has organometallic complex dispersed within the bake sensitive underlayer.
- the organometallic complex doped carbon-containing film includes a layer of organometallic complex over the bake sensitive underlayer and organometallic complex dispersed within the bake sensitive underlayer.
- the present invention encompasses a method of making a patterning structure.
- the method includes providing a substrate; depositing a doped bake sensitive underlayer on the substrate, wherein the doped bake sensitive underlayer is doped with a dopant including iodine, antimony, bismuth, tellurium and combinations thereof; forming a film of a radiation-sensitive imaging layer on the doped bake sensitive underlayer; exposing the film to Extreme Ultraviolet radiation to make a film having exposed regions and unexposed regions; baking the film having exposed regions and unexposed regions to activate the doped bake sensitive underlayer to generate reactive species, wherein the reactive species generated in the doped bake sensitive underlayer preferentially interact with the exposed regions to form exposed cross-linked regions; and developing the film having exposed cross-linked regions and unexposed regions; whereby interaction of the reactive species with the exposed regions reduces a radiation dose for effective photoresist exposure of a patterning structure; and wherein exposed cross-linked regions increase contrast between exposed regions and unexposed regions.
- a dopant including iodine, antimony, bismuth, tellurium
- the present invention encompasses a method of making a patterning structure.
- the method includes providing a substrate; depositing a doped bake sensitive underlayer having a top underlayer surface and a bottom underlayer surface on the substrate, wherein the doped bake sensitive underlayer is doped with a dopant including antimony, bismuth, tellurium and combinations thereof; vapor depositing a film 11151-1WO_LAMRP876WO 11 having a radiation-sensitive imaging layer on the top underlayer surface of the doped bake sensitive underlayer; and baking the film to release the dopant from the doped bake sensitive underlayer to generate dopant reactive species, wherein the dopant reactive species generated interact with the film; and whereby interaction of the dopant reactive species with the film reduces a radiation dose for effective photoresist of a patterning structure.
- vapor depositing a film having a radiation-sensitive imaging layer on the top underlayer surface of the doped bake sensitive underlayer also generates dopant reactive species.
- the present invention encompasses an apparatus for processing a substrate.
- the apparatus includes a process chamber comprising a substrate support; a process gas source connected with the process chamber and flow-control hardware; substrate handling hardware connected with the process chamber; and a controller having a processor and a memory, wherein the processer and the memory are communicatively connected with one another, the processor is at least operatively connected with the flow- control hardware and substrate handling hardware, and the memory stores computer- executable instructions for conducting the operations recited in any of the methods described herein.
- FIG.2A-2F presents schematic diagrams of example patterning structures. Provided are (A-C) stages in the fabrication of an example patterning structure, as described herein; (D) a cross-sectional view showing possible interactions between an imaging layer 218 and an underlayer 216; (E) a non-limiting reaction scheme within an imaging layer; and (F) a non- limiting reaction scheme between the imaging layer 228 and the underlayer 226 in accordance with certain disclosed embodiments.
- FIG. 3A is an illustration of the interactions of exposed and unexposed photoresist over a bake sensitive underlayer in the presence of an oxidizing agent in accordance with certain disclosed embodiments. 11151-1WO_LAMRP876WO 12 [0106] FIG.
- FIG. 3B is a bar chart illustrating the C-H loss resulting from a post-exposure bake of patterning structures with underlayers treated with varied amounts of oxidizing gas in accordance with certain disclosed embodiments.
- FIG. 4 is a graphical illustration of the C-H loss resulting from a post-exposure bake of patterning structures with underlayers treated with varied amounts of oxidizing gas in accordance with certain disclosed embodiments.
- FIG. 5A shows a formula for calculating synergistic effects in accordance with certain disclosed embodiments.
- FIG.5B is a graphical illustration of the C-H loss resulting from a post-exposure bake of control patterning structures without an underlayer (lacking activatable moieties) at different temperatures in accordance with certain disclosed embodiments.
- FIG. 5C is a graphical illustration of the C-H loss resulting from a post-exposure bake of patterning structures with activatable underlayers at different temperatures in accordance with certain disclosed embodiments.
- FIG. 6A is a bar chart showing the C-H loss resulting from a bake alone (no EUV exposure) e alone for patterning structures with bake sensitive underlayers as compared to a non-bake sensitive underlayer in accordance with certain disclosed embodiments.
- FIG. 6A is a bar chart showing the C-H loss resulting from a bake alone (no EUV exposure) e alone for patterning structures with bake sensitive underlayers as compared to a non-bake sensitive underlayer in accordance with certain disclosed embodiments.
- FIG. 6B is a graph demonstrating the correlation of the dose to size to C-H loss as measured by FTIR in accordance with certain disclosed embodiments.
- FIG. 7 presents a schematic illustration of an embodiment of a process station 300 for dry development in accordance with certain disclosed embodiments.
- FIG. 8 presents a schematic illustration of an embodiment of a multi-station processing tool 400 in accordance with certain disclosed embodiments.
- FIG. 9 presents a schematic illustration of an embodiment of an inductively coupled plasma apparatus 500 in accordance with certain disclosed embodiments.
- FIG. 10 presents a schematic illustration of an embodiment of a semiconductor process cluster tool architecture 600 in accordance with certain disclosed embodiments. [0117] FIG.
- FIG. 11 depicts a cross-sectional schematic view of an example of a dry deposition apparatus 700 in accordance with certain disclosed embodiments.
- 11151-1WO_LAMRP876WO 13 [0118]
- FIG.12 depicts detail side section and plan views of a portion of a top plate, substrate, and edge ring in accordance with certain disclosed embodiments.
- DETAILED DESCRIPTION [0119]
- acyloxy or “alkanoyloxy,” as used interchangeably herein, is meant an acyl or alkanoyl group, as defined herein, attached to the parent molecular group through an oxy group.
- the alkanoyloxy is -O-C(O)-Ak, in which Ak is an alkyl group, as defined herein.
- an unsubstituted alkanoyloxy is a C 2-7 alkanoyloxy group.
- exemplary alkanoyloxy groups include acetoxy.
- aliphatic is meant a hydrocarbon group having at least one carbon atom to 50 carbon atoms (C 1-50 ), such as one to 25 carbon atoms (C 1-25 ), or one to ten carbon atoms (C 1- 10 ), and which includes alkanes (or alkyl), alkenes (or alkenyl), alkynes (or alkynyl), including cyclic versions thereof, and further including straight- and branched-chain arrangements, and all stereo and position isomers as well.
- alkenyl is meant an optionally substituted C2-24 alkyl group having one or more double bonds.
- the alkenyl group can be cyclic (e.g., C3-24 cycloalkenyl) or acyclic.
- the alkenyl group can also be substituted or unsubstituted.
- the alkenyl group can be substituted with one or more substitution groups, as described herein for alkyl.
- alkenylene is meant a multivalent (e.g., bivalent) form of an alkenyl group, which is an optionally substituted C2-24 alkyl group having one or more double bonds.
- the alkenylene group can be cyclic (e.g., C 3-24 cycloalkenyl) or acyclic.
- the alkenylene group can be substituted or unsubstituted.
- the alkenylene group can be substituted with one or more substitution groups, as described herein for alkyl.
- alkoxy is meant -OR, where R is an optionally substituted alkyl group, as described herein.
- exemplary alkoxy groups include methoxy, ethoxy, butoxy, trihaloalkoxy, such as trifluoromethoxy, etc.
- the alkoxy group can be substituted or unsubstituted.
- the alkoxy group can be substituted with one or more substitution groups, as described herein for alkyl.
- Exemplary unsubstituted alkoxy groups include C1-3, C1-6, C1-12, C1- 16, C1-18, C1-20, or C1-24 alkoxy groups.
- alkyl and the prefix “alk” is meant a branched or unbranched saturated hydrocarbon group of 1 to 24 carbon atoms, such as methyl (Me), ethyl (Et), n-propyl (n-Pr), isopropyl (i-Pr), cyclopropyl, n-butyl (n-Bu), isobutyl (i-Bu), s-butyl (s-Bu), t-butyl (t-Bu), cyclobutyl, n-pentyl, isopentyl, s-pentyl, neopentyl, hexyl, heptyl, octyl, nonyl, decyl, dodecyl, tetradecyl, hexadecyl, eicosyl, tetracosyl, and the like.
- alk a branched or unbranched saturated hydrocarbon group of 1 to 24 carbon atom
- the alkyl group can be cyclic (e.g., C3- 24 cycloalkyl) or acyclic.
- the alkyl group can be branched or unbranched.
- the alkyl group can also be substituted or unsubstituted.
- the alkyl group can include haloalkyl, in which the alkyl group is substituted by one or more halo groups, as described herein.
- the alkyl group can be substituted with one, two, three or, in the case of alkyl groups of two carbons or more, four substituents independently selected from the group consisting of: (1) C 1-6 alkoxy (e.g., -O-Ak, wherein Ak is optionally substituted C 1-6 alkyl); (2) amino (e.g., -NR N1 R N2 , where each of R N1 and R N2 is, independently, H or optionally substituted alkyl, or R N1 and R N2 , taken together with the nitrogen atom to which each are attached, form a heterocyclyl group); (3) aryl; (4) arylalkoxy (e.g., -O-Lk-Ar, wherein Lk is a bivalent form of optionally substituted alkyl and Ar is optionally substituted aryl); (5) aryloyl (e.g., -C(O)-Ar, wherein Ar is optionally substituted aryl); (6)
- the alkyl group can be a primary, secondary, or tertiary alkyl group substituted with one or more substituents (e.g., one or more halo or alkoxy).
- the unsubstituted alkyl group is a C 1-3 , C 1-6 , C 1-12 , C 1- 16 , C 1-18 , C 1-20 , or C 1-24 alkyl group.
- alkylene is meant a multivalent (e.g., bivalent) form of an alkyl group, as described herein.
- Exemplary alkylene groups include methylene, ethylene, propylene, butylene, etc.
- the alkylene group is a C 1-3 , C 1-6 , C 1-12 , C 1-16 , C 1-18 , C 1-20 , C1-24, C2-3, C2-6, C2-12, C2-16, C2-18, C2-20, or C2-24 alkylene group.
- the alkylene group can be branched or unbranched.
- the alkylene group can also be substituted or unsubstituted.
- the alkylene group can be substituted with one or more substitution groups, as described herein for alkyl.
- alkynyl is meant an optionally substituted C2-24 alkyl group having one or more triple bonds.
- the alkynyl group can be cyclic or acyclic and is exemplified by ethynyl, 1-propynyl, and the like.
- the alkynyl group can also be substituted or unsubstituted.
- the alkynyl group can be substituted with one or more substitution groups, as described herein for alkyl. 11151-1WO_LAMRP876WO 16 [0128]
- alkynylene is meant a multivalent (e.g., bivalent) form of an alkynyl group, which is an optionally substituted C2-24 alkyl group having one or more triple bonds.
- the alkynylene group can be cyclic or acyclic.
- the alkynylene group can be substituted or unsubstituted.
- the alkynylene group can be substituted with one or more substitution groups, as described herein for alkyl.
- Exemplary, non-limiting alkynylene groups include -& ⁇ &- or -& ⁇ &&+ 2 -.
- amino is meant -NR N1 R N2 , where each of R N1 and R N2 is, independently, H, optionally substituted alkyl, or optionally substituted aryl, or R N1 and R N2 , taken together with the nitrogen atom to which each are attached, form a heterocyclyl group, as defined herein.
- aromatic is meant a cyclic, conjugated group or moiety of, unless specified otherwise, from 5 to 15 ring atoms having a single ring (e.g., phenyl) or multiple condensed rings in which at least one ring is aromatic (e.g., naphthyl, indolyl, or pyrazolopyridinyl); that is, at least one ring, and RSWLRQDOO ⁇ PXOWLSOH ⁇ FRQGHQVHG ⁇ ULQJV ⁇ KDYH ⁇ D ⁇ FRQWLQXRXV ⁇ GHORFDOL]HG ⁇ - electron system.
- 7 ⁇ SLFDOO ⁇ WKH ⁇ QXPEHU ⁇ RI ⁇ RXW ⁇ RI ⁇ SODQH ⁇ -electrons corresponds to the Huckel rule (4n+2).
- the point of attachment to the parent structure typically is through an aromatic portion of the condensed ring system.
- Such an aromatic can be unsubstituted or substituted with one or more groups, such as groups described herein for an alkyl or aryl group.
- substitution groups can include aliphatic, haloaliphatic, halo, nitrate, cyano, sulfonate, sulfonyl, or others.
- aryl is meant a group that contains any carbon-based aromatic group including, but not limited to, phenyl, benzyl, anthracenyl, anthryl, benzocyclobutenyl, benzocyclooctenyl, biphenylyl, chrysenyl, dihydroindenyl, fluoranthenyl, indacenyl, indenyl, naphthyl, phenanthryl, phenoxybenzyl, picenyl, pyrenyl, terphenyl, and the like, including fused benzo- C4-8 cycloalkyl radicals (e.g., as defined herein) such as, for instance, indanyl, tetrahydronaphthyl, fluorenyl, and the like.
- aryl also includes heteroaryl, which is defined as a group that contains an aromatic group that has at least one heteroatom incorporated within the ring of the aromatic group.
- heteroatoms include, but are not limited to, nitrogen, oxygen, sulfur, and phosphorus.
- non-heteroaryl which is also included in the term aryl, defines a group that contains an aromatic group that does not contain a heteroatom.
- the aryl group can be substituted or unsubstituted.
- the aryl group can be substituted with one, two, three, four, or five substituents, such as any described herein for alkyl.
- arylene is meant a multivalent (e.g., bivalent) form of an aryl group, as described herein.
- exemplary arylene groups include phenylene, naphthylene, biphenylene, triphenylene, diphenyl ether, acenaphthenylene, anthrylene, or phenanthrylene.
- the arylene group is a C 4-18 , C 4-14 , C 4-12 , C 4-10 , C 6-18 , C 6-14 , C 6-12 , or C 6-10 arylene group.
- the arylene group can be branched or unbranched.
- the arylene group can also be substituted or unsubstituted.
- the arylene group can be substituted with one or more substitution groups, as described herein for alkyl or aryl.
- (aryl)(alkyl)ene is meant a bivalent form including an arylene group, as described herein, attached to an alkylene or a heteroalkylene group, as described herein.
- the (aryl)(alkyl)ene group is -L-Ar- or -L-Ar-L- or -Ar-L-, in which Ar is an arylene group and each L is, independently, an optionally substituted alkylene group or an optionally substituted heteroalkylene group.
- ALD atomic layer deposition
- a vapor deposition process in which deposition cycles, preferably a plurality of consecutive deposition cycles, are conducted in a process chamber (i.e. a deposition chamber).
- the precursor is chemisorbed to a deposition surface (i.e. a substrate assembly surface or a previously deposited underlying surface such as material from a previous ALD cycle) forming a monolayer or sub- monolayer that does not readily react with additional precursor (i.e. a self-limiting reaction).
- a reactant i.e. another precursor or reaction gas
- this reactant is capable of reaction with the already chemisorbed precursor. Further, purging steps may also be utilized during each cycle to remove excess precursor from the process chamber and /or remove excess reactant and/or reaction by-products from the process chamber after conversion of the chemisorbed precursor.
- carboxyl is meant a -CO2H group.
- carboxyalkyl is meant an alkyl group, as defined herein, substituted by one or more carboxyl groups, as defined herein.
- carboxyaryl is meant an aryl group, as defined herein, substituted by one or more carboxyl groups, as defined herein. 11151-1WO_LAMRP876WO 18
- cyclic anhydride is meant a 3-, 4-, 5-, 6- or 7-membered ring (e.g., a 5-, 6- or 7- membered ring), unless otherwise specified, having a -C(O)-O-C(O)- group within the ring.
- cyclic anhydride also includes bicyclic, tricyclic and tetracyclic groups in which any of the above rings is fused to one, two, or three rings independently selected from the group consisting of an aryl ring, a cyclohexane ring, a cyclohexene ring, a cyclopentane ring, a cyclopentene ring, and another monocyclic heterocyclic ring.
- Exemplary cyclic anhydride groups include a radical formed from succinic anhydride, glutaric anhydride, maleic anhydride, phthalic anhydride, isochroman-1,3-dione, oxepanedione, tetrahydrophthalic anhydride, hexahydrophthalic anhydride, pyromellitic dianhydride, naphthalic anhydride, 1,2- cyclohexanedicarboxylic anhydride, etc., by removing one or more hydrogen.
- Other exemplary cyclic anhydride groups include dioxotetrahydrofuranyl, dioxodihydroisobenzofuranyl, etc.
- the cyclic anhydride group can also be substituted or unsubstituted.
- the cyclic anhydride group can be substituted with one or more groups including those described herein for heterocyclyl.
- cycloalkenyl is meant a monovalent unsaturated non-aromatic or aromatic cyclic hydrocarbon group of from three to eight carbons, unless otherwise specified, having one or more double bonds.
- the cycloalkenyl group can also be substituted or unsubstituted.
- the cycloalkenyl group can be substituted with one or more groups including those described herein for alkyl.
- cycloalkyl is meant a monovalent saturated or unsaturated non-aromatic or aromatic cyclic hydrocarbon group of from three to eight carbons, unless otherwise specified, and is exemplified by cyclopropyl, cyclobutyl, cyclopentyl, cyclopentadienyl, cyclohexyl, cycloheptyl, bicyclo[2.2.1.]heptyl, and the like.
- the cycloalkyl group can also be substituted or unsubstituted.
- the cycloalkyl group can be substituted with one or more groups including those described herein for alkyl.
- halo is meant F, Cl, Br, or I.
- haloalkyl is meant an alkyl group, as defined herein, substituted with one or more halo.
- heteroalkyl is meant an alkyl group, as defined herein, containing one, two, three, or four non-carbon heteroatoms (e.g., independently selected from the group consisting of nitrogen, oxygen, phosphorous, sulfur, selenium, or halo).
- heteroalkylene is meant a bivalent form of an alkylene group, as defined herein, containing one, two, three, or four non-carbon heteroatoms (e.g., independently selected from the group consisting of nitrogen, oxygen, phosphorous, sulfur, selenium, or halo).
- the heteroalkylene group can be substituted or unsubstituted.
- the heteroalkylene group can be substituted with one or more substitution groups, as described herein for alkyl.
- heterocyclyl is meant a 3-, 4-, 5-, 6- or 7-membered ring (e.g., a 5-, 6- or 7- membered ring), unless otherwise specified, containing one, two, three, or four non-carbon heteroatoms (e.g., independently selected from the group consisting of nitrogen, oxygen, phosphorous, sulfur, selenium, or halo).
- the 3-membered ring has zero to one double bonds
- the 4- and 5-membered ring has zero to two double bonds
- the 6- and 7-membered rings have zero to three double bonds.
- heterocyclyl also includes bicyclic, tricyclic and tetracyclic groups in which any of the above heterocyclic rings is fused to one, two, or three rings independently selected from the group consisting of an aryl ring, a cyclohexane ring, a cyclohexene ring, a cyclopentane ring, a cyclopentene ring, and another monocyclic heterocyclic ring, such as indolyl, quinolyl, isoquinolyl, tetrahydroquinolyl, benzofuryl, benzothienyl and the like.
- the heterocyclyl group can be substituted or unsubstituted.
- the heterocyclyl group can be substituted with one or more substitution groups, as described herein for alkyl.
- hydrocarbyl is meant a univalent group formed by removing a hydrogen atom from a hydrocarbon.
- Non-limiting unsubstituted hydrocarbyl groups include alkyl, alkenyl, alkynyl, and aryl, as defined herein, in which these groups include only carbon and hydrogen atoms.
- the hydrocarbyl group can be substituted or unsubstituted.
- the hydrocarbyl group can be substituted with one or more substitution groups, as described herein for alkyl.
- any alkyl or aryl group herein can be replaced with a hydrocarbyl group, as defined herein.
- hydroxyl is meant -OH.
- hydroxyalkyl is meant an alkyl group, as defined herein, substituted by one to three hydroxyl groups, with the proviso that no more than one hydroxyl group may be attached to a single carbon atom of the alkyl group and is exemplified by hydroxymethyl, dihydroxypropyl, and the like.
- hydroxyaryl is meant an aryl group, as defined herein, substituted by one to three hydroxyl groups, with the proviso that no more than one hydroxyl group may be attached 11151-1WO_LAMRP876WO 20 to a single carbon atom of the aryl group and is exemplified by hydroxyphenyl, dihydroxyphenyl, and the like.
- isocyanato is meant -NCO.
- oxido is meant an -O ⁇ group.
- phosphine is meant a trivalent or tetravalent phosphorous having hydrocarbyl moieties.
- phosphine is a -PR P 3 group, where each R P is, independently, H, optionally substituted alkyl, or optionally substituted aryl.
- the phosphine group can be substituted or unsubstituted.
- the phosphine group can be substituted with one or more substitution groups, as described herein for alkyl.
- substitution groups as described herein for alkyl.
- seelenol is meant an -SeH group.
- tellurol is meant an -TeH group.
- thioisocyanato is meant -NCS.
- thiol is meant an -SH group.
- deposition or “vapor deposition” is meant a process in which a metal layer is formed on one or more surfaces of a substrate from vaporized precursor composition(s) including one or more metal containing compounds.
- the metal-containing compounds are vaporized and directed to and/or contacted with one or more surfaces of a substrate (i.e., semiconductor substrate or semiconductor assembly) placed in a deposition chamber. Typically, the substrate is heated.
- a substrate i.e., semiconductor substrate or semiconductor assembly
- the substrate is heated.
- One operation of the method is one cycle, and the process can be repeated for as many cycles necessary to obtain the desired metal thickness.
- etchant is meant any compound used to remove a material such as a layer, byproduct or contaminant from a surface.
- bake sensitive is meant sensitivity to a particular temperature threshold in combination with a certain gaseous ambient.
- bake sensitive underlayer is meant an underlayer material that is sensitive to a particular temperature threshold in combination with a certain gaseous ambient (i.e. oxidizing 11151-1WO_LAMRP876WO 21 / reducing / inert%) resulting in a chemical chemical change that elicits a beneficial DtS reduction for the imaging layer.
- the terms “top,” “bottom,” “upper,” “lower,” “above,” and “below” are used to provide a relative relationship between structures. The use of these terms does not indicate or require that a particular structure must be located at a particular location in the apparatus.
- the term “about” is understood to account for minor increases and/or decreases beyond a recited value, which changes do not significantly impact the desired function of the parameter beyond the recited value(s). In some cases, “about” encompasses +/- 10% of any recited value. As used herein, this term modifies any recited value, range of values, or endpoints of one or more ranges.
- EUV photoresist typically at a wavelength of 13.5 nm—is considered as the next enabling technology for lithographic patterning.
- PR EUV photoresist
- PR is one of the roadblocks.
- CAR traditional chemically amplified resist
- organic polymer CARs generate line edge roughness (LER) and line width roughness (LWR), and have sensitivity and resolution limitations due to random fluctuation of the use of polymer.
- LER line edge roughness
- LWR line width roughness
- Recent research and development efforts have focused on new EUV inorganic photoresist platform development. Such systems offer several advantages compared to a polymer-based CAR system.
- inorganic photoresists are generally based on metal oxides, including metal hydroxide oxides.
- the small metal oxide molecule size enhances ultimate resolution of the patterning step, and metal oxide photoresists generally show higher etch resistance than CAR, which can reduce PR thickness to reduce structure aspect ratio.
- CAR Spin- On-Carbon
- Common hardmask materials such as silicon oxide (e.g., silicon dioxide, SiO 2 ), silicon nitride, and ashable hardmasks (AHMs) can be used directly under PR for better etch selectivity and good LER and LWR. But delamination between EUV PR and hardmask material, particularly after wet development of the EUV-exposed PR, is a persistent problem. And only about twenty percent of the EUV photons are absorbed by a typical PR, 11151-1WO_LAMRP876WO 22 meaning that a large amount of primary and secondary electrons will often be created in a PR underlayer.
- silicon oxide e.g., silicon dioxide, SiO 2
- silicon nitride silicon nitride
- AHMs ashable hardmasks
- a thin underlayer film disposed directly under the EUV inorganic PR enables a film stack EUV inorganic PR having improved performance.
- a bake sensitive underlayer is one having chemically labile or activatable moieties.
- the underlayer may be carbon containing or silicon containing in some embodiments.
- the activatable moieties may be constituents of the underlayer, added to the underlayer as dopants, or be both constituents of the underlayer and stem from dopants.
- Sources of the carbon-containing films can include, but are not limited to, methane, acetylene, ethylene, propylene, propyne, allene, cyclopropene, butane, cyclohexane, benzene and toluene.
- the underlayer when it is a carbon-containing underlayer, it may have certain types of bonds which are susceptible to cleavage under appropriate conditions, which may include heat, an oxidizing gas or a combination of heat and oxidizing gas.
- activatable C-H bonds include, but are not limited to, allylic C-H, ether alpha C- H, vinyl C-H, C-H bonds alpha to a ketone group, C-H bonds alpha to an aldehyde or on the carbonyl carbon, tertiary C-H and benzylic C-H’s.
- the synergistic action of an oxidizing gas causes release of reactive moieties.
- the moieties may be reactive species comprise peroxy radicals, hydroperoxy radicals, oxy radicals, hydroxy radicals, hydrogen radicals, formate radicals, iodine radicals, carbon dioxide, carbon monoxide, water, iodine, hydrogen iodide, hydrogen antimonide, hydrogen telluride, bismuthine, formate anions, superoxide anions, or a combination thereof.
- the reactive species once released from the bake sensitive underlayer having activatable moieties may diffuse into the imaging layer and cross-link the imaging layer material. This cross-linking results in reduction of dose to size.
- Sources of iodine dopants for the carbon-containing underlayers include the precursors HI, CH2I2, CH3I, ICH2CH2I, C2H4I, CF3I or ICF2CF2I in some embodiments.
- Sources of bromine dopants for the carbon-containing underlayers include the precursors HBr, CH 2 Br 2 , CH 3 Br, BrCH 2 CH 2 Br, C 2 H 4 Br, CF 3 Br, or BrCF 2 CF 2 Br in some embodiments.
- Sources of chlorine dopants for the carbon-containing underlayers include the precursors HCl, CH 2 Cl 2 , CH 3 Cl, ClCH 2 CH 2 Cl, C 2 H 4 Cl, CF 3 Cl or ClCF 2 CF 2 Cl in some embodiments.
- Sources of fluorine dopants for the carbon-containing underlayers include the precursors HF, CH 2 F 2 , CH 3 F, CF 4 , FCH 2 CH 2 F, C 2 H 4 F, CF 3 F or C 2 F 6 in some embodiments.
- an oxidizing gas may be unnecessary, as heat alone may be sufficient to break a C-M bond.
- suitable metals include antimony, tin, bismuth, indium, tellurium, gold, platinum, palladium, osmium, iridium, titanium, ruthenium, rhodium, silver, tungsten or a combination thereof. Metals may be applied either as a thin layer on the underlayer, or deposited with the underlayer precursor.
- suitable organometallic complexes include those with oxidizable metal-carbon bonds such as organoruthenium, organoplatinum, organopalladium, organoiridium, organogold, organoosmium or organorhodium.
- the organometallic complex-doped underlayers may be produced by various techniques.
- the underlayer is first deposited on a substrate. Then the underlayer is soaked in an organometallic complex dissolved in solution; and optionally heated. As a result of the soaking, organometallic complex may be 1) deposited as a thin layer coating the underlayer; 2) dispersed throughout the underlayer; or 3) deposited as a thin layer and dispersed throughout the underlayer.
- solvents which may be utilized to dissolve the organometallic complexes include tetrahydrofuran, n-hexane, acetonitrile, ethanol, isopropyl alcohol or dimethyl sulfoxide.
- Suitable organorhodium complexes include dicarbonyl(pentamethylcyclopentadienyl)rhodium(I) in some embodiments.
- Suitable organoosmium complexes include bis(pentamethylcyclopentadienyl)osmium and bis(cyclopentadienyl)osmium.
- Suitable organogold complexes include dimethyl(acetylacetonate)gold(III).
- Suitable organoiridium complexes include (methylcyclopentadienyl)(1,5- cyclooctadiene)iridium(I) and 1-ethylcyclopentadienyl-1,3-cyclohexadiene iridium (I).
- Suitable organopalladium complexes include allyl(cyclopentadienyl)palladium(II).
- Suitable organoplatinum complexes include (trimethyl)pentamethylcyclopentadienyl platinum (IV) and trimethyl(methylcyclopentadienyl)platinum (IV).
- Suitable organoruthenium complexes include those with cyclopentadienyl, cyclic unsaturated hydrocarbon, alkyl, alkenyl or beta-diketonate ligands.
- the underlayer may also be composed of a silicon-containing film.
- the silicon- containing film may be a silicon oxide.
- the silicon-containing film may be doped with a halogen, a metal, carbon, hydrogen or a combination thereof.
- the heating may be at a pedestal temperature of from about 75 oC to about 280oC; or to a temperature of from about 75 oC to about 280 oC.
- two or more different temperatures may be utilized with a different bake gas ambient (i.e. a first bake in oxidative gas with heating; and a second bake at a higher temperature than the first bake in an inert gas (i.e. 100% N2).
- the duration of heating is variable and can be optimized for the selected underlayer. In some embodiments, duration may be from about 1 to about 10 minutes.
- the first temperature may be maintained for a first duration of from about 1 to about 5 minutes
- the second temperature may be maintained for a second duration of from about 1 to about 10minutes.
- the two durations may be the same length of time or different lengths of time.
- oxidizing agent may be variable and is dependent upon the nature of the selected underlayer.
- oxidizing agents may include chlorine, nitric oxide, 11151-1WO_LAMRP876WO 25 nitrogen dioxide, carbon monoxide, carbon dioxide, hydrogen peroxide, ozone, oxygen or a combination thereof.
- the oxidizing agent may be delivered to the processing chamber in an inert gas.
- underlayer precursors may also be delivered in inert gas.
- the oxidizing gas is supplied as an oxidative gas mixture (with inert gas), the oxidizing gas may be supplied in an amount from about 10% to about 100% of the oxidative gas mixture.
- the inert gas is helium, neon, argon, krypton, xenon, radon, nitrogen or a combination thereof.
- the underlayers described above may be utilized in order to optimize or fine tune production of a patterning structure, as dose to size reduction can occur based on selection of an appropriate underlayer and treatment of the underlayer to release reactive species which facilitate cross-linking in the imaging layer.
- the treatment (bake or bake-type process) may be heating alone or heating along with subjection to an oxidizing gas.
- Advantageous effects can be measured, even when treatment is just a bake only type process, in the absence of EUV exposure. Selection criteria for the underlayer will depend upon the particular product or effect desired.
- a doped underlayer may be selected.
- a halo-doped bake sensitive underlayer may be selected when it is preferable to avoid use of an oxidizing agent, as a halo-doped bake sensitive underlayer can be activated in the presence of heat alone.
- other dopants may be preferable to achieve the desired effect.
- doping may be unnecessary to form a bake-sensitive underlayer, where the material of the underlayer itself already contains activatable bonds.
- the underlayers described above may be utilized in order to prepare patterning structures by methods subjecting them to EUV exposure and a post- exposure bake process.
- the underlayers described above may be utilized in order to prepare patterning structures by methods subjecting them to EUV exposure, a post-exposure bake, and a development process. In such methods, the reactive species generated form the bake sensitive underlayers (during the PEB) will have a preferential interaction with the EUV 11151-1WO_LAMRP876WO 26 exposed regions of the imaging compared to the unexposed regions.
- the reactive species do not indiscriminately interact with exposed and unexposed regions and will contribute to an increased amount of cross-linking in the exposed region of the imaging layer. This yields additional chemical / material contrast in the imaging layer between the unexposed and exposed regions.
- the advantageous end result of such methods is a decrease in pattern edge roughness and/or a decrease in scumming.
- the underlayer can also serve as an adhesion layer between the EUV inorganic PR and the hardmask, regardless of hardmask film composition, which enables improved etch selectivity and LER/LWR performance.
- Surfaces other than a hardmask can be employed below the underlayer, in which the underlayer can serve as an adhesion layer between the EUV PR and any useful substrate (e.g., a hardmask, a wafer, a partially fabricated semiconductor device film stack, etc.).
- suitable underlayer thin films can be deposited by chemical vapor deposition (CVD), plasma enhanced chemical vapor deposition (PECVD), atomic layer deposition (ALD), plasma enhanced atomic layer deposition (PEALD), or other vapor deposition methods (e.g. by sputter deposition, physical vapor deposition (PVD) including PVD co-sputtering).
- the underlayer deposition process can be implemented in either an etch tool (e.g., Kiyo® or Flex®, available from Lam Research Corporation, Fremont, CA) or a deposition tool (e.g., Lam Striker®). In some implementations, it can be integrated as a termination step in a hardmask deposition process.
- the present disclosure relates to lithographic patterning techniques and materials exemplified by EUV lithography, it is also applicable to other next generation lithographic techniques.
- EUV which includes the standard 13.5 nm EUV wavelength currently in use and development
- the radiation sources most relevant to such lithography are DUV (deep-UV), which generally refers to use of 248 nm or 193 nm excimer laser sources;
- X-ray which formally includes EUV at the lower energy range of the X-ray range; as well as e-beam, which can cover a wide energy range.
- FIG. 1 depicts a process flow for one aspect of this disclosure, a method of making a pattering structure.
- the method 100 involves, at 101, providing a substrate.
- the substrate may be, for example, a hardmask, a film, a stack, a partially fabricated semiconductor device film stack, etc., fabricated in any suitable way.
- the substrate may include a hardmask disposed on a work piece, such as the partially fabricated semiconductor device film stack.
- the hardmask, on the uppermost layer of the film stack may have a variety of compositions, such as SiO2, silicon nitride, an ashable hardmask material, and may be formed by chemical vapor deposition, for example PECVD. Ashable hardmasks composed of amorphous carbon films are desirable in some implementations.
- Amorphous carbon films in this context may be undoped or doped with boron (B) or tungsten (W), for example.
- Suitable amorphous carbon films may have a composition including about 50 to 80 atomic % carbon (C), 10 to 20 atomic % hydrogen (H), and 5 to 40 atomic % B or W dopant, for example.
- the substrate can be or include an amorphous hydrogenated carbon, silicon oxide, silicon nitride, silicon oxynitride, silicon carbide, silicon boronitride, amorphous silicon, polysilicon, or a combination of any described herein, in any form (e.g., a bulk film, a thin film, another film, a stack, etc.).
- a photoresist underlayer is deposited on the substrate.
- the underlayer is configured to increase adhesion between the substrate and a subsequently formed EUV- sensitive inorganic photoresist, and to reduce EUV dose for effective EUV exposure of the photoresist.
- the underlayer may be or include a vapor deposited film of hydronated carbon doped with O, silicon (Si), nitrogen (N), tungsten (W), boron (B), iodine (I), chlorine (Cl), or a combination of any of these (e.g., a combination of Si and O).
- the film is deposited by introducing or delivering a hydrocarbon precursor (e.g., to provide carbon atoms) and a dopant precursor (e.g., to provide the doping, non-carbon atoms).
- the film is deposited by introducing or delivering a heteroatom-containing precursor, such as an iodine-containing precursors, that provides a doped film after deposition.
- a heteroatom-containing precursor such as an iodine-containing precursors
- a hydrogenated carbon film doped with iodine can improve generation of 11151-1WO_LAMRP876WO 28 secondary electrons upon exposure to EUV radiation. Further non-limiting precursors and dopants to provide such underlayers are described herein.
- the film can have a thickness of no more than about 60 nm.
- the photoresist underlayer may have a thickness of about 2 to 20 nm, e.g., 2, 3, 4, 5, 6, 7, 8, 9, 10, 15 or 20 nm, and may optionally include about 0-30 atomic % O and/or about 20-50 atomic % hydrogen (H), 0-16 atomic % of a halogen such as iodine, fluorine, bromine or chlorine and/or 30-70 atomic % C.
- H atomic % hydrogen
- a halogen such as iodine, fluorine, bromine or chlorine
- 30-70 atomic % C Other underlayer properties are described herein.
- the underlayer may be vapor deposited on the substrate by PECVD or ALD using a hydrocarbon precursor, which can be with or without carbon monoxide (CO) and/or carbon dioxide (CO 2 ).
- vapor deposition includes introducing or delivering a hydrocarbon precursor without CO and without CO2.
- the underlayer may be vapor deposited on the substrate by PECVD or ALD using an oxocarbon precursor that co-reacts with hydrogen (H 2 ) or a hydrocarbon.
- the oxocarbon precursor may further co- react with a Si source dopant during the deposition.
- oxocarbon precursors can include CO or CO 2 .
- oxocarbon precursors can include hydroxyl ( ⁇ OH) groups or other oxygen-containing groups to the underlayer, which can provide a hydrophilic surface or a surface with increased hydrophilicity (as compared to an underlayer lacking such ⁇ OH or oxygen-containing groups).
- a hydrophilic surface can improve adhesion between the underlayer and the PR layer.
- the underlayer may be vapor deposited on the substrate by PECVD or ALD using a Si-containing precursor that co-reacts with an oxidizer (e.g., an oxocarbon, an O-containing precursor, CO, or CO2).
- the Si-containing precursor further co-reacts with a C source dopant (e.g., a hydrocarbon precursor, as described herein).
- a C source dopant e.g., a hydrocarbon precursor, as described herein.
- Non-limiting Si-containing precursors are described herein, such as silanes, halosilanes, aminosilanes, alkoxysilanes, organosilanes, etc.
- the underlayer may be vapor deposited on the substrate by PECVD as a termination operation of a vapor deposition on the substrate, for example by adjusting the precursor flows entering the PECVD process chamber to achieve the desired composition of the photoresist underlayer.
- underlayer may be vapor deposited on the substrate by PECVD to provide a hydronated carbon film.
- the film is a low density film (e.g., from 0.7-2.9 g/cm 3 ).
- the undoped film (or a film prior to doping) has a density less than about 1.5 g/cm 3 or a density of about 0.7-1.4 g/cm 3 .
- the doped film has a density of about 0.7-1.4 g/cm 3 .
- the PECVD process can include any useful precursor or combinations of precursors.
- the precursor is a hydrocarbon precursor (e.g., any described herein).
- a doped, hydronated carbon film is formed by using a heteroatom-containing precursor (e.g., a nitrogen-containing precursor, a tungsten-containing precursor, a boron- containing precursor, and/or an iodine-containing precursor) during the PECVD process.
- a heteroatom-containing precursor e.g., a nitrogen-containing precursor, a tungsten-containing precursor, a boron- containing precursor, and/or an iodine-containing precursor
- Deposition of the underlayer can include use of plasma (e.g., as in a PECVD process), including transformer coupled plasma (TCP), inductively coupled plasma (ICP), or capacitively coupled plasma (CCP).
- TCP transformer coupled plasma
- ICP inductively coupled plasma
- CCP capacitively coupled plasma
- deposition can use low TCP power (e.g., about 100-1000 W) with minimum bias (e.g., no bias) to provide a low density film.
- minimum bias e.g., no bias
- generation of plasma e.g., TCP or ICP
- CW continuous wave
- Deposition e.g., with TCP or ICP power in CW mode
- an applied bias regardless of frequency
- pulsed e.g., in the range of about 1 Hz to about 10 kHz, such as 10-2000 Hz
- the applied pulsed bias can be provided to control ion energy.
- Non-limiting applied pulsed bias power can be from about 10- 1000 W, as well as other ranges described herein.
- deposition can include an applied CW bias.
- CW bias may also be used to control ion energy.
- the applied CW bias power can be from 10-1000 W(e.g., 10-500 W, 10-400 W, as well as other ranges described herein).
- Yet other conditions includes use of certain pressure conditions (e.g., such as 5-1000 millitorr (mTorr), including 10-1000 mTorr, 10-500 mTorr, or 10-400 mTorr) and certain temperature conditions (e.g., such as about 0-100°C, including 0-50°C and 10-40°C).
- certain pressure conditions e.g., such as 5-1000 millitorr (mTorr), including 10-1000 mTorr, 10-500 mTorr, or 10-400 mTorr
- certain temperature conditions e.g., such as about 0-100°C, including 0-50°C and 10-40°C.
- Pulsed or continuous bias can be employed to fine tune properties of the film.
- pulsed bias can provide a higher density film, as compared to a low density film 11151-1WO_LAMRP876WO 30 prepared with 0 W of bias power.
- the radiation-sensitive imaging layer is formed on the underlayer.
- the imaging layer can include an EUV-sensitive inorganic photoresist, for example.
- a suitable EUV-sensitive inorganic photoresist may be a metal oxide film, such as a EUV-sensitive tin oxide-based photoresist.
- Such resists also referred to as imaging layers
- imaging layers Such resists (also referred to as imaging layers) and their formation and use are described, for example, in International Patent Applications PCT/US2019/031618, filed May 9, 2019, published as WO2019/217749, and titled METHODS FOR MAKING EUV PATTERNABLE HARD MASKS; and PCT/US2019/060742, filed November 11, 2019, published as WO2020/102085, and titled METHODS FOR MAKING HARD MASKS USEFUL IN NEXT GENERATION LITHOGRAPHY, the disclosure of which relating to the composition, deposition, and patterning of directly photopatternable metal-organic based metal oxide films to form EUV resist masks is incorporated by reference herein.
- the EUV-sensitive inorganic photoresist may be a spin-on film or a vapor deposited film.
- FIGS. 2A-2C depict stages in the fabrication of a non-limiting patterning structure, as described herein.
- the patterning structure shown in FIG. 2C has a hardmask 204 disposed on a substrate 202 (e.g., a wafer or a partially fabricated semiconductor device film stack).
- An imaging layer 208 is disposed over the hardmask 204.
- an underlayer 206 is disposed between the hardmask 204 and the imaging layer 208.
- the underlayer 206 can be configured to increase adhesion between the hardmask and the imaging layer, as well as to reduce radiation dose for effective photoresist exposure.
- DtS performance of EUV PR on an amorphous carbon AHM with an underlayer, as described herein is as good as or even better than EUV PR on SOC, in some cases decreasing required dose by 10% or more. Furthermore, post-development peeling of the EUV PR from the hardmask bilayer (amorphous carbon AHM with a photoresist underlayer) is not observed.
- the underlayer 206 can further provide increased etch selectivity and/or decreased line edge and line width roughness (LER/LWR) in the structure.
- FIGS. 2A-2C an implementation of the fabrication of the structure of FIG. 2C is illustrated in FIGS. 2A-2B.
- FIG. 2A shows the hardmask 204 disposed on the substrate 202
- FIG. 2B shows the underlayer 206 deposited on the hardmask 204.
- the use of an underlayer within the stack can provide improved properties. In one instance, interactions between the underlayer and the imaging layer provides a reduction in DtS. As seen in FIG.
- the patterning structure includes a hardmask 214 disposed on a surface of the substrate 212, as well as an underlayer 216 disposed between imaging layer 218 and the hardmask 214.
- Possible interactions include migration (or diffusion) of metal (M) atoms 218A from the imaging layer 218 into the underlayer 216; and/or migration (or diffusion) of hydrogen (H) atoms 216A from the underlayer 216 into the imaging layer 218.
- M metal
- H hydrogen
- the composition of the underlayer and the imaging layer can be designed to promote favorable reactions, which in turn can improve DtS.
- the imaging layer can include a tin-based photoresist having a radiation-cleavable ligand.
- a radiation-cleavable ligand e.g., EUV
- the ligand (R) is eliminated from the tin (Sn) center, and an Sn-H bond is formed in its place.
- PEB post-exposure bake
- the underlayer 226 can include ligands (R1) that provide releasable H atoms, upon exposure to EUV radiation, thereby forming a reacted ligand (R 1 *).
- R 1 groups include, e.g., an optionally substituted alkyl that can be linear or branched.
- the EUV-cleavable ligand R will provide an eliminated ligand R* and a reactive metal center Sn.
- the released H atoms from the underlayer 226 can facilitate formation of the Sn-H bond within the imaging layer 228, thereby reducing DtS.
- underlayer also include oxygen (O) atoms
- O oxygen
- Sn atoms from the 11151-1WO_LAMRP876WO 32 imaging layer 228 can diffuse into the underlayer 226, thereby allowing for the generation of additional secondary electrons.
- Implementation 1 Dry deposition of the underlayer [0225]
- the underlayer can be deposited in any useful manner. In one instance, deposition includes vapor deposition of a hydrocarbon precursor or a carbon-containing precursor (e.g., any described herein).
- Deposition can include use of a process gas (e.g., as a plasma or as an inert gas) during deposition, in which non-limiting process gases include carbon monoxide (CO), helium (He), argon (Ar), krypton (Kr), neon (Ne), nitrogen (N2), and/or hydrogen (H2).
- a process gas e.g., as a plasma or as an inert gas
- non-limiting process gases include carbon monoxide (CO), helium (He), argon (Ar), krypton (Kr), neon (Ne), nitrogen (N2), and/or hydrogen (H2).
- Deposition conditions include control of precursor flow rate(s), gas flow rate(s), process pressure, temperature (e.g., electrostatic chuck (ESC) temperature), plasma (e.g., TCP) power, bias power, and duty cycle (DC) within the processing chamber.
- the flow rate of precursor(s) may be between about 1-100 standard cubic centimeter per minute (sccm
- the flow rate of gas(es) may be between about 1-1600 sccm.
- the chamber pressure may be between about 5-1000 mTorr (e.g., 5-800 mTorr, 10-500 mTorr, 10-400 mTorr, 30-500 mTorr, 10-1000 mTorr, or 30-1000 mTorr).
- the ESC temperature may be between about 0-100°C (e.g., 0-50°C or 10-40°C).
- the power used to generate the plasma may be between about 10- 3000 W per station (e.g., 100-1000 W, 200-1000 W, 200-800 W, or 200-500 W).
- the RF frequency used to generate the plasma can be between about 0.3-600 MHz (e.g., 13.56 MHz, 60 MHz, 27 MHz, 2 MHz, 400 kHz, or combinations thereof).
- the RF bias power may be between about 0-1000 W using a pulsed plasma or a continuous wave (CW) plasma.
- the processing chamber can be an ICP chamber or a CCP chamber. In some embodiments of the ICP chamber, the frequency of both the top ICP generator and the bias generator is 13.5 MHz.
- the pressure can be about 10-400 mTorr, and the TCP power can be about 200-500 W. [0227] Table 1 provides examples of processing regimes for non-limiting underlayers.
- the hydrocarbon precursor is methane (CH 4 ) with another gas being He.
- the hydrocarbon precursor is CH4, and process gases include CO, H2, and He.
- Table 1 Process regimes 11151-1WO_LAMRP876WO 33 [0228]
- Table 2 Etch resistance of underlayers Implementation 2: Pulsing bias process for use in deposition of the underlayer [0229] Further processes were developed to improve etch resistance of the underlayer. In particular, bias power was used to modify the density of the underlayer.
- deposition of the underlayer can include a bias (regardless of frequency) that is pulsed (e.g., in the range of about 1 Hz to about 10 kHz) with a DC of between about 1% and 99%.
- plasma pulsing may involve repetitions of periods, each of which may last a duration T.
- the duration T includes the duration for pulse ON time (the duration for which the plasma is in an ON state) and the duration for plasma OFF time (the duration from which the plasma is in an OFF state) during a given period.
- the duty cycle or duty ratio is the fraction or percentage in a period T during which the plasma is in the ON state such that duty cycle or duty ratio is pulse ON time divided by T.
- a pulse ON time is 70 ⁇ s (such that the duration for which the plasma is in an ON state in a period is 70 ⁇ s) and a pulse OFF time is 30 ⁇ s (such that the duration for which the plasma is in an OFF state in a period is 30 ⁇ s)
- the duty cycle is 70%.
- 11151-1WO_LAMRP876WO 34 Yet other deposition conditions can include control of precursor flow rate(s), gas flow rate(s), process pressure, temperature (e.g., ESC temperature), plasma power, bias power, pulse frequency, DC, and TCCT parameter within the processing chamber.
- the flow rate of precursor(s) may be between about 1-100 sccm.
- the flow rate of process gas(es) may be between about 1-1600 sccm.
- the chamber pressure may be between about 5-1000 mTorr (e.g., 5-800 mTorr, 10-500 mTorr, 10-400 mTorr, 30-500 mTorr, 10-1000 mTorr, or 30-1000 mTorr).
- the ESC temperature may be between about 0-100°C (e.g., 0-50°C or 10-40°C).
- the power used to generate the plasma may be between about 10-3000 W (e.g., 100-1000 W, 200- 1000 W, 200-800 W, or 200-500 W).
- the RF frequency used to generate the plasma may be between about 0.3-600 MHz (e.g., 13.56 MHz, 60 MHz, 27 MHz, 2 MHz, 400 kHz, or combinations thereof).
- the RF bias power may be between about 10-1000 W using a pulsed plasma with a DC between 1-100%, in which 100% indicated CW (e.g., 1-99%).
- the RF bias power can be pulsed below 5000 Hz, such as a frequency from about 10-2000 Hz.
- the TCCT parameter can be from 0.1 to 1.5.
- plasma exposure can include a high frequency (HF) RF component (e.g., generally between about 2-60 MHz) and a low frequency (LF) RF component (e.g., generally from about 100 kHz - 2 MHz).
- the processing chamber can be an ICP chamber or a CCP chamber.
- Table 3 provides examples of processing regimes for non-limiting underlayers formed with a pulsing bias process (Embodiment 3).
- Various underlayer films were formed using Embodiment 3, in which bias power was either 70 W or 140 W and in which DC was varied from 10-50%.
- pulsing bias processes provided films having an increased density (e.g., density greater than about 1.09 g/cm 3 ). In this way, density of an underlayer can be fine-tuned by adding bias power. In some instances, denser films may provide lower etch rates, thereby providing improved etch resistance.
- Table 3 Pulsing process regimes 11151-1WO_LAMRP876WO 35
- Implementation 3 Deposition of doped underlayers [0233]
- the underlayer can include one or more dopants (e.g., non-carbon dopants when a hydrocarbon precursor is employed).
- the dopant can be provided by using a hydrocarbon precursor (e.g., to provide carbon atoms) and a separate dopant precursor (e.g., to provide the doping, non-carbon atoms).
- the dopant is provided by employing a single dopant precursor including carbon atom(s) and heteroatom(s).
- Non-limiting non-carbon heteroatoms include oxygen (O), silicon (Si), nitrogen (N), tungsten (W), boron (B), iodine (I), chlorine (Cl), or a combination of any of these.
- Other dopants and heteroatom-containing dopant precursors are described herein. [0234] Use of dopants can, in some instances, improve etch resistance.
- deposition can include use of a dopant precursor (e.g., any described herein), and process regimes described herein for a precursor generally (e.g., flow rate, pressure, temperature, plasma power, bias power, pulse frequency, duty cycle, TCCT, etc.) can be used for the dopant precursor.
- a dopant precursor e.g., any described herein
- process regimes described herein for a precursor generally e.g., flow rate, pressure, temperature, plasma power, bias power, pulse frequency, duty cycle, TCCT, etc.
- the flow rate of precursor(s) e.g., hydrocarbon precursor and/or dopant precursor
- the flow rate of process gas(es) may be between about 1-1600 sccm.
- the chamber pressure may be between about 5-1000 mTorr (e.g., 5-800 mTorr, 10-500 mTorr, 10-400 mTorr, 30-500 mTorr, 10-1000 mTorr, or 30-1000 mTorr).
- the ESC temperature may be between about 0-100°C (e.g., 0-50°C or 10-40°C).
- the power used to generate the plasma may be between about 10-3000 W (e.g., 100-1000 W, 200-1000 W, 200-800 W, or 200-500 W).
- the RF frequency used to generate the plasma may be between about 0.3-600 MHz (e.g., 13.56 MHz, 60 MHz, 27 MHz, 2 MHz, 400 kHz, or combinations thereof).
- the RF bias power may be between about 0-1000 W using a pulsed plasma with a DC between about 1-99% or a CW plasma (DC of 100%).
- the RF bias power can be pulsed below 5000 Hz, such as a frequency from about 10-2000 Hz.
- the TCCT parameter can be from 0.1 to 1.5.
- the processing chamber can be an ICP chamber or a CCP chamber.
- the dopant is or includes nitrogen (N) to provide N-doped 11151-1WO_LAMRP876WO 36 underlayers.
- Non-limiting N-containing precursors can include any described herein, such as nitrogen (N2), ammonia (NH3), hydrazine (N2H4), amines, and aminosilanes.
- an N-doped underlayer is formed by co-flowing of a hydrocarbon precursor and an N- containing precursor.
- Table 4 provides examples of processing regimes for non-limiting N-doped underlayers.
- the hydrocarbon precursor is CH 4
- the N-containing precursor is N 2
- the hydrocarbon precursor is CH 4
- the N-containing precursor is NH3.
- the N-doped underlayer can be characterized by the presence of N-H bonds (e.g., with a peak at about 3500 to 3100 cm ⁇ 1 and/or about 1635 cm ⁇ 1 in a Fourier transform infrared spectroscopy ⁇ )7,5 ⁇ VSHFWUXP ⁇ DQG ⁇ RU ⁇ & ⁇ 1 ⁇ ERQGV ⁇ H ⁇ J ⁇ Zith peak(s) at about 2260 to 2222 cm ⁇ 1 , about 2244 cm ⁇ 1 , and/or about 2183 cm ⁇ 1 in an FTIR spectrum).
- the etch rates of doped underlayers can be improved, in some instances.
- the dopant is or includes tungsten (W) to provide W-doped underlayers.
- W-containing precursors can include any described herein, such as tungsten halides (e.g., WF 6 , WCl 6 , or WCl 5 ), tungsten carbonyl (e.g., W(CO) 6 ), or others.
- a W-doped underlayer is formed by co-flowing of a hydrocarbon precursor and a W-containing precursor.
- Table 5 provides examples of processing regimes for non-limiting W-doped underlayers.
- the hydrocarbon precursor is CH 4 .
- the W-containing precursor is WF6 at a lower flow rate of 1 sccm.
- the W- c ontaining precursor is WF 6 at a higher flow rate of 2 sccm.
- the W- containing precursor is WF 6 at a lower flow rate of 1 sccm but at a higher pressure of 50 mTorr.
- Table 5 Process regimes of tungsten-doped underlayers [0243] Density of doped underlayers can be increased. Table 6 provides the refractive index (RI at 633 nm), deposition rate (Dep. Rate), and density of the underlayers.
- Non-limiting B-containing precursors can include any described herein, such as boron halides (e.g., BCl 3 ), boranes (e.g., B 2 H 6 ), borates (e.g., B(OH) 3 ), and organoboron compounds (e.g., B(CH 3 ) 3 ).
- boron halides e.g., BCl 3
- boranes e.g., B 2 H 6
- borates e.g., B(OH) 3
- organoboron compounds e.g., B(CH 3 ) 3
- a B-doped underlayer is formed by co-flowing of a hydrocarbon precursor and a B-containing precursor.
- the B-doped underlayer can be characterized by the presence of B ⁇ OH bonds (e.g., with a peak at about 3200 cm ⁇ 1 in a FTIR spectrum), B-O bonds (e.g., with a peak at about 1340 cm ⁇ 1 in a FTIR spectrum), and/or B-O-H bonds (e.g., with a peak at about 1194 cm ⁇ 1 in an FTIR spectrum).
- B ⁇ OH bonds e.g., with a peak at about 3200 cm ⁇ 1 in a FTIR spectrum
- B-O bonds e.g., with a peak at about 1340 cm ⁇ 1 in a FTIR spectrum
- B-O-H bonds e.g., with a peak at about 1194 cm ⁇ 1 in an FTIR spectrum.
- Table 7 provides examples of processing regimes for non-limiting B-doped underlayers.
- the hydrocarbon precursor is CH4, and the B-containing precursor is BCl3.
- the deposition conditions are the same as Embodiment 9, but the film is further treated with H 2 .
- Table 7 Process regimes of boron-doped underlayers [0247] In particular embodiments, both the deposition rate and the density of doped underlayers can be increased.
- Table 8 provides RI at 633 nm, deposition rate (Dep. Rate), and density of the underlayers.
- co-flow of the hydrocarbon 11151-1WO_LAMRP876WO 39 precursor with the B-containing dopant precursor increased deposition rate and increased density, as compared to the baseline that was deposited without the dopant precursor.
- Table 8 Characteristic of boron-doped underlayers [0248] As described herein, dopant precursors can be employed during deposition to provide a doped underlayer. In particular embodiments, the doped underlayer can have enhanced characteristics, such as improved etch resistance, etch rate, refractive index, deposition rate, and/or density.
- Implementation 4 Deposition of various hydrocarbon precursors [0249] The underlayer can be deposited with any useful precursor.
- the precursor can include a hydrocarbon precursor having only carbon and hydrogen atoms.
- the precursor can be a heteroatom-containing, hydrocarbon precursor having carbon atoms, hydrogen atoms, and non-carbon heteroatoms.
- the precursor can be a dopant precursor (e.g., as described herein).
- the hydrocarbon precursors various compounds can be employed.
- the hydrocarbon precursor can include aliphatic and aromatic compounds (e.g., alkanes, alkenes, alkynes, benzene, etc.), including substituted forms thereof. By using different hydrocarbon precursors, the type and amount of certain chemical bonds within the underlayer can be modified.
- using unsaturated hydrocarbon precursors can provide an underlayer having increased unsaturated bond content (e.J ⁇ LQFUHDVHG ⁇ & & ⁇ DQG ⁇ RU ⁇ & ⁇ & ⁇ ERQG ⁇ content), increased sp 2 carbon content, increased sp carbon content, decreased saturated bond content (e.g., decreased C-C bond content), decreased sp 3 carbon content, and/or decreased C- H bond content (e.g., as compared to a film formed with an increased amount saturated hydrocarbon precursors or a decreased amount of unsaturated hydrocarbon precursors).
- the selection of the hydrocarbon precursor can depend on various factors.
- a hydrocarbon precursor includes a saturated precursor (e.g., having increased C-H bond content, as compared to C-& ⁇ & & ⁇ RU ⁇ & ⁇ & ⁇ FRQWHQW ⁇ ZKLFK ⁇ FDQ ⁇ SURYLGH ⁇ VXIILFLHQW ⁇ + ⁇ 11151-1WO_LAMRP876WO 40 atoms.
- a saturated precursor e.g., having increased C-H bond content, as compared to C-& ⁇ & & ⁇ RU ⁇ & ⁇ & ⁇ FRQWHQW ⁇ ZKLFK ⁇ FDQ ⁇ SURYLGH ⁇ VXIILFLHQW ⁇ + ⁇ 11151-1WO_LAMRP876WO 40 atoms.
- selection of such a precursor can provide enhanced etch resistance, as compared to use of a saturated precursor.
- Table 9 provides examples of processing regimes for non-limiting hydrocarbon precursors.
- the hydrocarbon precursor is CH4.
- the hydrocarbon precursor is acetylene (C 2 H 2 ).
- the hydrocarbon precursor is propyne (C3H4).
- Different plasma types can be employed (e.g., ICP or CCP).
- ICP is employed to allow for separate control of ion energy and ion density.
- Process conditions can be optimized to achieve a similar film whether using ICP or CCP.
- CCP generally employs a higher self-bias voltage than ICP, thus resulting in a plasma characterized by a higher ion energy.
- processes herein can include use of ICP or CCP with modifications in one or more processing conditions (e.g., pressure, temperature, flow rate of precursor(s) or inert gases, process time, etc.) to achieve targeted film compositions and film properties.
- Table 9 Process regimes for hydrocarbon precursors 11151-1WO_LAMRP876WO 41 [0253] In particular embodiments, use of unsaturated hydrocarbon precursors improves etch resistance.
- etch rate of an underlayer formed with a C2H2 precursor (or +& ⁇ &+ ⁇ Embodiment 11), a C 3 H 4 SUHFXUVRU ⁇ ⁇ +& ⁇ &&+ 3 , Embodiment 12), and a CH 4 precursor (Embodiment 1).
- the underlayer can also include one or more atoms having a high patterning radiation absorption cross-section (e.g., an EUV absorption cross-section that is equal to or greater than 1x10 7 cm 2 /mol).
- Such atoms include, e.g., iodine (I). Iodine can be provided in any useful source.
- the precursor employed during deposition can be a dopant precursor that is a hydrocarbon having one or more iodine atoms.
- a dopant precursor that is a hydrocarbon having one or more iodine atoms.
- Non-limiting precursors an aliphatic or an aromatic compound (e.g., an alkane, alkene, or alkyne, including cyclic forms thereof, as well as benzene) having one or more I atoms.
- precursors include iodoacetylene (C2HI), diiodoacetylene (C2I2), vinyl iodide (C2H3I), iodomethane (CH3I), diiodomethane (CH 2 I 2 ), 1,1-diiodoethene (C 2 H 2 I 2 ), (E)-1,2-diiodoethylene (trans-C 2 H 2 I 2 ), (Z)- 1,2-diiodoethylene (cis-C 2 H 2 I 2 ), allyl iodide (C 3 H 5 I), 1-iodo-1-propyne (C 3 H 3 I), iodocyclopropane (C3H5I), and 1,1-diiodocyclopropane (C3H4I2).
- C2HI iodoacetylene
- C2I2I2 diiodoacetylene
- C2H3I vinyl io
- any of the deposition conditions herein can be combined to provide a beneficial underlayer.
- a pulsing bias process can be used with any precursor (e.g., a hydrocarbon precursor, a dopant precursor, or a combination thereof) described herein.
- a dopant precursor can be combined with any hydrocarbon precursor described herein.
- the process can include the use of one, two, three, or more different precursors (e.g., two or more hydrocarbon precursors; and/or two or more dopant precursors).
- a dopant precursor can be generated by modifying any hydrocarbon precursor herein (e.g., saturated or unsaturated hydrocarbon precursors) with one or more non-carbon heteroatoms.
- any hydrocarbon precursor herein e.g., saturated or unsaturated hydrocarbon precursors
- non-carbon heteroatoms e.g., saturated or unsaturated hydrocarbon precursors
- Combinations of precursors can be selected to provide desired film properties. For instance, a particular hydrocarbon precursor (e.g., an unsaturated hydrocarbon precursor) can be selected to improve etch resistance. Then, certain heteroatoms can be selected to provide a film with increased density or refractive index (e.g., heteroatoms such as O, Si, N, W, B, or I).
- the underlayer can include I, C, H, and O atoms; I, C, H, and Si atoms; I, H, N, O, and Si atoms; or I, C, H, N, O, and Si atoms.
- yet other non-carbon heteroatoms can be selected to provide a film with enhanced EUV absorption (e.g., heteroatoms, such as I or another heteroatom having an EUV absorption cross-section that is equal to or greater than 1x10 7 cm 2 /mol).
- the thickness of the underlayer can be controlled (e.g., more than about 5 nm).
- the underlayers herein can employ any useful precursor or combination of precursors.
- Such precursors can include hydrocarbon precursors including only carbon (C) and hydrogen (H) atoms, in which the precursor can be saturated (having only single bonds) or unsaturated (having one or more double or triple bonds), as well as linear or cyclic.
- Yet other precursors can include one or more non-carbon heteroatoms, and such precursors are referred herein as dopant precursors.
- dopant precursors can optionally include carbon atoms in combination with non-carbon atoms.
- any hydrocarbon precursor herein can be modified with one or more heteroatoms to provide a dopant precursor.
- precursors can refer to a hydrocarbon precursor and/or a dopant precursor. Such precursors can, in some instances, be a gas, thereby allowing for vapor deposition within a process chamber.
- Hydrocarbon precursors generally include carbon-containing precursors. In some instances, the hydrocarbon precursor includes only C and H atoms.
- the hydrocarbon precursor may be, for example, one defined by the formula CxHy, wherein x is an integer from 1 to 10 and y is an integer from 2 to 24.
- Examples of such precursors include methane (CH 4 ), acetylene 11151-1WO_LAMRP876WO 43 (C2H2), ethane (C2H6), ethylene (C2H4), propane (C3H8), propylene (C3H6), propyne (C3H4), allene (C3H4), cyclopropene (C3H4), butane (C4H10), butylene (C4H8), butadiene (C4H6), cyclohexane (C 6 H 12 ), benzene (C 6 H 6 ), and toluene (C 7 H 8 ).
- the hydrocarbon precursor can be an aliphatic compound (e.g., a C 1-10 alkane, C 2-10 alkene, C2-10 alkyne, including linear or cyclic forms thereof) or an aromatic compound (e.g., benzene, as well as polycyclic forms thereof).
- the hydrocarbon precursor can include saturated bonds (single bonds, e.g., C-C bonds or C-H bonds) and/or unsaturated bonds (double or triple ERQGV ⁇ H ⁇ J ⁇ & & ⁇ & ⁇ & ⁇ RU ⁇ & ⁇ 1 ⁇ ERQGV ⁇ [0261]
- Useful precursors for underlayers can also include one or more heteroatoms.
- heteroatoms can be any useful non-carbon atoms, such as oxygen (O), silicon (Si), nitrogen (N), tungsten (W), boron (B), iodine (I), chlorine (Cl), and combinations thereof.
- non- limiting heteroatom-containing precursors can include an O-containing precursor, an Si-containing precursor, an N-containing precursor, a W-containing precursor, a B-containing precursor, an I-containing precursor, or a Cl- containing precursor.
- dopant precursors can be inorganic (lacking carbon atoms) or organic (including carbon atoms), as described herein.
- O-containing precursors can include an oxocarbon precursor comprising O and C atoms.
- the oxocarbon precursor reacts with hydrogen (H2) or a hydrocarbon and optionally further co-reacts with an Si source or an Si-containing precursor.
- O-containing precursors can include carbon monoxide (CO), carbon dioxide (CO2), water (H2O), oxygen (O2), ozone (O3), hydrogen peroxide (H2O2), alcohol (e.g., t-amyl alcohol, ethanol, propanol, etc.), polyol (e.g., a diol, such as ethylene glycol), ketone, aldehyde, ether, ester, carboxylic acid, alkoxysilane, oxolane, or furan.
- Si-containing precursors can include silanes, halosilanes, aminosilanes, alkoxysilanes, organosilanes, etc.
- the Si-containing precursor co- reacts with an oxidizer (e.g., any described herein, such as an O-containing precursor or an oxocarbon precursor, including CO and CO2).
- an oxidizer e.g., any described herein, such as an O-containing precursor or an oxocarbon precursor, including CO and CO2.
- Non-limiting Si-containing precursors include polysilanes (H3Si-(SiH2)n-SiH3), where n > 0.
- silanes examples include silane (SiH4), disilane (Si 2 H 6 ), and organosilanes such as methylsilane, ethylsilane, isopropylsilane, t-butylsilane, dimethylsilane, diethylsilane, di-t-butylsilane, allylsilane, sec-butylsilane, thexylsilane, isoamylsilane, t-butyldisilane, di-t-butyldisilane, and the like.
- organosilanes such as methylsilane, ethylsilane, isopropylsilane, t-butylsilane, dimethylsilane, diethylsilane, di-t-butylsilane, allylsilane, sec-butylsilane, thexylsilane, isoamylsilane,
- a halosilane includes at least one halogen group and may or may not include H and/or C atoms.
- halosilanes are iodosilanes, bromosilanes, chlorosilanes, and fluorosilanes.
- chlorosilanes are tetrachlorosilane, trichlorosilane, dichlorosilane, monochlorosilane, chloroallylsilane, chloromethylsilane, dichloromethylsilane, chlorodimethylsilane, chloroethylsilane, t-butylchlorosilane, di-t-butylchlorosilane, chloroisopropylsilane, chloro-sec-butylsilane, t-butyldimethylchlorosilane, hexyldimethylchlorosilane, and the like.
- iodosilanes are tetraiodosilane, triiodosilane, diiodosilane, monoiodosilane, trimethylsilyl iodide, and the like.
- An aminosilane includes at least one N atom bonded to a Si atom, but may also contain H, O, halogen, and/or C atoms.
- aminosilanes are mono-, di-, tri- and tetra- aminosilane (H3Si(NH2), H2Si(NH2)2, HSi(NH2)3 and Si(NH2)4, respectively), as well as substituted mono-, di-, tri- and tetra-aminosilanes, for example, t-butylaminosilane, methylaminosilane, t-butylsilanamine, bi(t-butylamino)silane (SiH 2 (NHC(CH 3 ) 3 ) 2 (BTBAS), t-butyl silylcarbamate, SiH(CH3)-(N(CH3)2)2, SiHCl-(N(CH3)2)2, (Si(CH3)2NH)3 and the like.
- An aminosilane is trisilylamine (N(SiH3)).
- An alkoxysilane includes at least one O atom bonded to a Si atom, but may also contain H, N, halogen, and/or C atoms.
- Yet other examples include tetraethoxysilane (Si(OCH 2 CH 3 ) 4 ), triethoxysilane (HSi(OCH 2 CH 3 ) 3 ), tetramethoxysilane (Si(OCH 3 ) 4 ), and trimethoxysilane (HSi(OCH 3 ) 3 ).
- N-containing precursors include any that has at least one N atom, for example, nitrogen gas (N2), ammonia (NH3), hydrazine (N2H4), amines (amines bearing carbon) such as methylamine, dimethylamine, ethylmethylamine, ethylamine, isopropylamine, t-butylamine, di-t-butylamine, cyclopropylamine, sec-butylamine, cyclobutylamine, isoamylamine, 2- methylbutan-2-amine, trimethylamine, diisopropylamine, diethylisopropylamine, di-t- butylhydrazine, as well as aromatic containing amines such as anilines, pyridines, and 11151-1WO_LAMRP876WO 45 benzylamines.
- N-containing precursors can include nitrile (e.g., acetonitrile), amides, N-containing heterocyclic compound, or amino alcohols (e.g., ethanolamine).
- Amines may be primary, secondary, tertiary, or quaternary (for example, tetraalkylammonium compounds).
- An N-containing precursor can contain heteroatoms other than N, for example, hydroxylamine, t-butyloxycarbonyl amine, and N-t-butyl hydroxylamine are N-containing precursors.
- W-containing precursors include tungsten-containing halide precursors, which may include tungsten fluorides, such as tungsten(VI) fluoride (WF6); and tungsten chlorides, such as tungsten(VI) chloride (WCl 6 ), tungsten(V) chloride (WCl 5 ), and tungsten(VI) oxychloride (WOCl 4 ).
- tungsten-containing halide precursors which may include tungsten fluorides, such as tungsten(VI) fluoride (WF6); and tungsten chlorides, such as tungsten(VI) chloride (WCl 6 ), tungsten(V) chloride (WCl 5 ), and tungsten(VI) oxychloride (WOCl 4 ).
- metal-organic tungsten-containing precursors may be used, such as tungsten hexacarbonyl (W(CO)6), mesitylene tungsten tricarbonyl ([C6H3(CH3)3]W(CO)3), bis(t-butylimido)bis(dimethylamino)tungsten(VI) bis(cyclopentadienyl)tungsten(IV) dihydride (H 2 WCp 2 ), or others.
- B-containing precursors include boron halides (e.g., BCl3), boranes (e.g., B2H6), borates (e.g., B(OH) 3 ), and organoboron compounds (e.g., B(CH 3 ) 3 ).
- Non-limiting B- containing precursors include diborane (B2H6), trimethylborate (B[OCH3]3), triethylborate (B[OCH2CH3]3), triisopropyl borate (B[OCH(CH3)2]3), trimethylborane (B(CH3)3), triethylborane (B(C 2 H 5 ) 3 ), triphenylborane (BPh 3 ), tetrakis(dimethylamino)diboron (B 2 (N(CH 3 ) 2 ) 4 ), boron trifluoride (BF 3 ), boron trichloride (BCl 3 ), boron tribromide (BBr 3 ), and boron iodide (BI3).
- I-containing precursors include iodinated hydrocarbon compounds, such as iodoacetylene (C 2 HI), diiodoacetylene (C 2 I 2 ), vinyl iodide (C 2 H 3 I), iodomethane (CH 3 I), diiodomethane (CH2I2), 1,1-diiodoethene (C2H2I2), (E)-1,2-diiodoethylene (trans-C2H2I2), (Z)- 1,2-diiodoethylene (cis-C 2 H 2 I 2 ), allyl iodide (C 3 H 5 I), 1-iodo-1-propyne (C 3 H 3 I), iodocyclopropane (C 3 H 5 I), and 1,1-diiodocyclopropane (C 3 H 4 I 2 ).
- iodoacetylene C 2 HI
- diiodoacetylene C
- Cl-containing precursors include chlorinated hydrocarbon compounds, such as chloroacetylene (C2HCl), vinyl chloride (C2H3Cl), chloromethane (CH3Cl), dichloromethane (CH 2 Cl 2 ), 1,1-dichloroethene (C 2 H 2 Cl 2 ), (E)-1,2-dichloroethylene (trans-C 2 H 2 Cl 2 ), (Z)-1,2- dichloroethylene (cis-C2H2Cl2), allyl chloride (C3H5Cl), 1-chloro-1-propyne (C3H3Cl), chlorocyclopropane (C3H5Cl), and 1,1-dichlorocyclopropane (C3H4Cl2).
- chlorinated hydrocarbon compounds such as chloroacetylene (C2HCl), vinyl chloride (C2H3Cl), chloromethane (CH3Cl), dichloromethane (CH 2 Cl 2 ), 1,1-dichloroe
- P-containing precursors can include phosphates, phosphines, phosphorous halides, organophosphorus compounds, and others.
- Non-limiting P-containing precursors include triethyl phosphate (PO[OC 2 H 5 ] 3 ), trimethyl phosphate (PO[OCH 3 ] 3 ), trimethyl phosphite (P(OCH 3 ) 3 ), trisdimethylaminophosphorus (P[N(CH3)2]3), phosphorous trichloride (PCl3), trismethylsilyl phosphine (P[Si(CH 3 ) 3 ] 3 ), and phosphorus oxychloride (POCl 3 ).
- Properties of underlayers [0273] Any of the processes and precursors herein can be employed to provide useful underlayers. The composition of the underlayer can be tuned to include particular atoms.
- the underlayer include about 0-30 atomic % O (e.g., 1-30%, 2-30%, or 4- 30%), about 20-50 atomic % H (e.g., 20-45%, 30-50%, or 30-45%), and/or 30-70 atomic % C (e.g., 30-60%, 30-65%, or 30-68%).
- the underlayer includes the SUHVHQFH ⁇ RI ⁇ XQVDWXUDWHG ⁇ ERQGV ⁇ H ⁇ J ⁇ & & ⁇ & ⁇ & ⁇ & ⁇ DQG ⁇ RU & ⁇ 1 ⁇ ERQGV ⁇ ,Q ⁇ HW ⁇ RWKHU ⁇ HPERGLPHQWV ⁇ the underlayer has a density of about 0.7 to 2.9 g/cm 3 .
- the underlayer can be characterized by increased etch selectivity and/or decreased undercut, as compared to a control film. In other embodiments, the underlayer can be characterized decreased line edge and line width roughness and/or decreased dose to size, as compared to a control film.
- Non-limiting control films include those formed with a saturated hydrocarbon precursors, formed within pulsed bias, and/or formed without a dopant. In one instance, the control film is an AHM formed with methane. In another instance, the control film is an AHM formed with acetylene.
- Patterning structures [0275] The patterning structures (or films) herein can include an imaging layer on a surface of a hardmask or a substrate, as well as an underlayer under the imaging layer.
- the presence of the underlayer provides increased radiation absorptivity and/or patterning performance of the imaging layer.
- photon absorption through a layer is depth dependent.
- the lower portion of the layer is exposed to a lower dose of radiation, as compared to the upper portion of that same layer, because fewer photons reach that lower portion.
- the layer must provide sufficient transmission of the radiation.
- the underlayer described herein provides increased radiation absorption through the imaging layer.
- the underlayer can effectively generate more secondary electrons that can better expose lower portions of the patterning structure.
- One or both of the underlayer and the imaging layer can include a high-absorbing element.
- the underlayer and the imaging layer both include a high-absorbing element, such as equal to or greater than 1x10 7 cm 2 /mol for EUV absorption.
- the element in each of the absorbing and imaging layers can be the same or different.
- enhanced adhesion can lower the required radiation dose to provide desired patterned features within the imaging layer and/or the underlayer.
- the imaging layer can include any useful resist, such as metal-organic based resists, as described herein.
- the underlayer may advantageously be a carbon-based film.
- another important function of the underlayer can be to overcoat and planarize the existing topography so that the subsequent patterning step may be performed on a flat surface with all areas of the pattern in focus.
- the underlayer (or at least one of multiple underlayers) may be applied using dry deposition or spin-coating techniques.
- the layer may include various AHM films with carbon- and hydrogen-based compositions, and may be doped with additional elements such as tungsten, boron, nitrogen, or fluorine.
- the underlayer and imaging layer can be considered a film.
- the film is a radiation-sensitive film (e.g., an EUV-sensitive film).
- This film in turn, can serve as an EUV resist, as further described herein.
- the layer or film can include one or more ligands (e.g., EUV labile ligands) that can be removed, cleaved, or cross-linked by radiation (e.g., EUV or DUV radiation).
- ligands e.g., EUV labile ligands
- Precursors can be used to provide a patternable film that is sensitive to radiation (or a patterning radiation-sensitive film or a photopatternable film).
- Such radiation can include EUV radiation, DUV radiation, or UV radiation that is provided by irradiating through a patterned mask, thereby being a patterned radiation.
- the film itself can be altered by being exposed to such radiation, such that the film is radiation-sensitive or photosensitive.
- the precursor is an organometallic compound, which includes at least one metal center.
- the precursor can have any useful number and type of ligand(s).
- the ligand can be characterized by its ability to react in the presence of a counter- reactant or in the presence of patterned radiation.
- the precursor can include a ligand that reacts with a counter-reactant, which can introduce linkages between metal centers (e.g., an -O- linkage).
- the precursor can include a ligand that eliminates in the presence of patterned radiation.
- Such an EUV labile ligand can include branched or linear alkyl groups having a beta-hydrogen, as well as any described herein for R in formula (I) or (II).
- the precursor can be any useful metal-containing precursor, such as an organometallic agent, a metal halide, or a capping agent (e.g., as described herein).
- the precursor includes a structure having formula (I): MaRb (I), wherein: M is a metal or an atom having a high EUV absorption cross-section; each R is, independently, H, halo, optionally substituted alkyl, optionally substituted cycloalkyl, optionally substituted cycloalkenyl, optionally substituted alkenyl, optionally substituted alkynyl, optionally substituted alkoxy, optionally substituted alkanoyloxy, optionally substituted aryl, optionally substituted amino, optionally substituted bis(trialkylsilyl)amino, optionally substituted trialkylsilyl, oxo, an anionic ligand, a neutral ligand, or a multidentate ligand; D ⁇ and E ⁇ [0283]
- the precursor includes a structure having formula (II): M a R b L c (II), wherein: M is a metal or
- the precursor includes a structure having formula (II), in which each R is, independently, L.
- the precursor includes a structure having formula (IIa): MaLc (IIa), wherein: M is a metal or an atom having a high EUV absorption cross-section; each L is, independently, a ligand, ion, or other moiety that is reactive with a counter-reactant, in which two L, taken together, can optionally form a heterocyclyl group; D ⁇ DQG ⁇ F ⁇
- a is 1.
- c is 2, 3, or 4.
- M can be a metal or a metalloid or an atom with a high patterning radiation absorption cross-section (e.g., an EUV absorption cross-section that is equal to or greater than 1x10 7 cm 2 /mol).
- M is tin (Sn), bismuth (Bi), tellurium (Te), cesium (Cs), antimony (Sb), indium (In), molybdenum (Mo), hafnium (Hf), iodine (I), zirconium (Zr), iron (Fe), cobalt (Co), nickel (Ni), copper (Cu), zinc (Zn), silver (Ag), platinum (Pt), and lead (Pb).
- M is Sn, a is 1, and c is 4 in formula (I), (II), or (IIa). In other embodiments, M is Sn, a is 1, and c is 2 in formula (I), (II), or (IIa). In particular embodiments, M is Sn(II) (e.g., in formula (I), (II), or (IIa)), thereby providing a precursor that is a Sn(II)-based compound. In other embodiments, M is Sn(IV) (e.g., in formula (I), (II), or (IIa)), thereby providing a precursor that is a Sn(IV)-based compound.
- each R is, independently, H, halo, optionally substituted alkyl, optionally substituted cycloalkyl, optionally substituted cycloalkenyl, optionally substituted alkenyl, optionally substituted alkynyl, optionally substituted alkoxy (e.g., -OR 1 , in which R 1 can be optionally substituted alkyl), optionally substituted alkanoyloxy, optionally substituted aryl, optionally substituted amino, optionally substituted bis(trialkylsilyl)amino, optionally substituted trialkylsilyl, oxo, an anionic ligand (e.g., oxido, chlorido, hydrido, 11151-1WO_LAMRP876WO 50 acetate, iminodiacetate, propanoate, butanoate, benzoate, etc.), a anionic ligand (e.g., oxido, chlorido, hydrido, 11151-1WO_LAM
- the optionally substituted amino is -NR 1 R 2 , in which each R 1 and R 2 is, independently, H or alkyl; or in which R 1 and R 2 , taken together with the nitrogen atom to which each are attached, form a heterocyclyl group, as defined herein.
- the optionally substituted bis(trialkylsilyl)amino is -N(SiR 1 R 2 R 3 ) 2 , in which each R 1 , R 2 , and R 3 is, independently, optionally substituted alkyl.
- the optionally substituted trialkylsilyl is -SiR 1 R 2 R 3 , in which each R 1 , R 2 , and R 3 is, independently, optionally substituted alkyl.
- the formula includes a first R (or first L) that is -NR 1 R 2 and a second R (or second L) that is -NR 1 R 2 , in which each R 1 and R 2 is, independently, H or optionally substituted alkyl; or in which R 1 from a first R (or first L) and R 1 from a second R (or second L), taken together with the nitrogen atom and the metal atom to which each are attached, form a heterocyclyl group, as defined herein.
- the formula includes a first R that is -OR 1 and a second R that is -OR 1 , in which each R 1 is, independently, H or optionally substituted alkyl; or in which R 1 from a first R and R 1 from a second R, taken together with the oxygen atom and the metal atom to which each are attached, form a heterocyclyl group, as defined herein.
- at least one of R or L is optionally substituted alkyl.
- Non-limiting alkyl groups include, e.g., CnH2n+1, where n is 1, 2, 3, or greater, such as methyl, ethyl, n-propyl, isopropyl, n-butyl, isobutyl, s-butyl, or t-butyl.
- R or L has at least one beta-hydrogen or beta-fluorine.
- at least one of R or L is a halo-substituted alkyl (e.g., a fluoro-substituted alkyl).
- each R or L or at least one R or L is halo.
- the precursor can be a metal halide.
- Non-limiting metal halides include SnBr 4 , SnCl 4 , SnI 4 , and SbCl 3 .
- each R or L or at least one R or L can include a nitrogen atom.
- one or more R or L can be optionally substituted amino, an optionally substituted monoalkylamino (e.g., -NR 1 H, in which R 1 is optionally substituted alkyl), an optionally substituted dialkylamino (e.g., -NR 1 R 2 , in which each R 1 and R 2 is, independently, optionally substituted alkyl), or optionally substituted 11151-1WO_LAMRP876WO 51 bis(trialkylsilyl)amino.
- an optionally substituted monoalkylamino e.g., -NR 1 H, in which R 1 is optionally substituted alkyl
- an optionally substituted dialkylamino e.g., -NR 1 R 2 , in which each R 1 and R 2 is, independently, optionally substituted alkyl
- 11151-1WO_LAMRP876WO 51 bis(trialkylsilyl)amino e.g., 11151-1WO_LAMRP876WO 51 bis(
- Non-limiting R and L substituents can include, e.g., -NMe2, -NHMe, -NEt2, -NHEt, -NMeEt, -N(t-Bu)-[CHCH3]2-N(t-Bu)- (tbba), -N(SiMe3)2, and -N(SiEt3)2.
- each R or L or at least one R or L can include a silicon atom.
- one or more R or L can be optionally substituted trialkylsilyl or optionally substituted bis(trialkylsilyl)amino.
- Non- limiting R or L substituents can include, e.g., -SiMe 3 , -SiEt 3 , -N(SiMe 3 ) 2 , and -N(SiEt 3 ) 2 .
- each R or L or at least one R or L can include an oxygen atom.
- one or more R or L can be optionally substituted alkoxy or optionally substituted alkanoyloxy.
- Any formulas herein can include one or more neutral ligands.
- Non-limiting neutral ligands include an optionally substituted amine (e.g., NR 3 or R 2 N-Ak-NR 2 , in which each R can be, independently, H, optionally substituted alkyl, optionally substituted hydrocarbyl, or optionally substituted aryl, and Ak is optionally substituted alkylene), an optionally substituted phosphine (e.g., PR 3 or R 2 P-Ak-PR 2 , in which each R can be, independently, H, optionally substituted alkyl, optionally substituted hydrocarbyl, or optionally substituted aryl, and Ak is optionally substituted alkylene), an optionally substituted ether (e.g., OR2, in which each R can be, independently, H, optionally substituted alkyl, optionally substituted hydrocarbyl, or optionally substituted aryl), an optionally substituted alkyl, an optionally substituted alkene, an optionally substituted alkyne, an optionally substituted benzene,
- any formulas herein can include one or more multidentate (e.g., bidentate) ligands.
- each R 1 is, independently, H, optionally substituted alkyl, optionally substituted haloalkyl, or optionally substituted aryl; each R 2 is, independently, H or optionally substituted alkyl; R 3 and R 4 , taken together, forms an optionally substituted heterocyclyl; Ak is optionally substituted alkylene; and Ar is optionally substituted arylene.
- 11151-1WO_LAMRP876WO 52 [0296]
- the precursor includes tin.
- the tin precursor includes SnR or SnR2 or SnR4 or R3SnSnR3, wherein each R is, independently, H, halo, optionally substituted C 1-12 alkyl, optionally substituted C 1-12 alkoxy, optionally substituted amino (e.g., -NR 1 R 2 ), optionally substituted C 2-12 alkenyl, optionally substituted C 2- 12 alkynyl, optionally substituted C3-8 cycloalkyl, optionally substituted aryl, cyclopentadienyl, optionally substituted bis(trialkylsilyl)amino (e.g., -N(SiR 1 R 2 R 3 ) 2 ), optionally substituted alkanoyloxy (e.g., acetate), a diketonate (e.g., -OC(R 1 )-Ak-(R 2 )CO-), or a bidentate chelating dinitrogen (e.g.
- each R 1 , R 2 , and R 3 is, independently, H or C1- 12 alkyl (e.g., methyl, ethyl, isopropyl, t-butyl, or neopentyl); and Ak is optionally substituted C1-6 alkylene.
- each R is, independently, halo, optionally substituted C1-12 alkoxy, optionally substituted amino, optionally substituted aryl, cyclopentadienyl, or a diketonate.
- Non-limiting tin precursors include SnF 2 , SnH 4 , SnBr 4 , SnCl 4 , SnI 4 , tetramethyl tin (SnMe4), tetraethyl tin (SnEt4), trimethyl tin chloride (SnMe3Cl), dimethyl tin dichloride (SnMe2Cl2), methyl tin trichloride (SnMeCl3), tetraallyltin, tetravinyl tin, hexaphenyl ditin (IV) (Ph 3 Sn-SnPh 3 , in which Ph is phenyl), dibutyldiphenyltin (SnBu 2 Ph 2 ), trimethyl(phenyl) tin (SnMe3Ph), trimethyl(phenylethynyl) tin, tricyclohexyl tin hydride, tribut
- the precursor includes bismuth, such as in BiR 3 , wherein each R is, independently, halo, optionally substituted C1-12 alkyl, mono-C1-12 alkylamino (e.g., -NR 1 H), di-C1-12 alkylamino (e.g., -NR 1 R 2 ), optionally substituted aryl, optionally substituted bis(trialkylsilyl)amino (e.g., 4 or a diketonate (e.g., -OC(R )-Ak- (R 5 )CO-).
- each R is, independently, halo, optionally substituted C1-12 alkyl, mono-C1-12 alkylamino (e.g., -NR 1 H), di-C1-12 alkylamino (e.g., -NR 1 R 2 ), optionally substituted aryl, optionally substituted bis(trialkylsilyl)amino (e.g., 4 or a diket
- each R 1 , R 2 , and R 3 is, independently, C1-12 alkyl (e.g., methyl, ethyl, isopropyl, t-butyl, or neopentyl); and each R 4 and R 5 is, independently, H or optionally substituted C 1-12 alkyl (e.g., methyl, ethyl, isopropyl, t-butyl, or neopentyl).
- Non- 11151-1WO_LAMRP876WO 53 limiting bismuth precursors include BiCl3, BiMe3, BiPh3, Bi(NMe2)3, Bi[N(SiMe3)2]3, and Bi(thd)3, in which thd is 2,2,6,6-tetramethyl-3,5-heptanedionate.
- the precursor includes tellurium, such as TeR 2 or TeR 4 , wherein each R is, independently, halo, optionally substituted C 1-12 alkyl (e.g., methyl, ethyl, isopropyl, t-butyl, and neopentyl), optionally substituted C1-12 alkoxy, optionally substituted aryl, hydroxyl, oxo, or optionally substituted trialkylsilyl.
- C 1-12 alkyl e.g., methyl, ethyl, isopropyl, t-butyl, and neopentyl
- Non-limiting tellurium precursors include dimethyl tellurium (TeMe 2 ), diethyl tellurium (TeEt 2 ), di(n-butyl) tellurium (Te(n- Bu)2), di(isopropyl) tellurium (Te(i-Pr)2), di(t-butyl) tellurium (Te(t-Bu)2), t-butyl tellurium hydride (Te(t-Bu)(H)), Te(OEt) 4 , bis(trimethylsilyl)tellurium (Te(SiMe 3 ) 2 ), and bis(triethylsilyl) tellurium (Te(SiEt 3 ) 2 ).
- the precursor can include antimony, such as in SbR3, wherein each R is, independently, halo, optionally substituted C1-12 alkyl (e.g., methyl, ethyl, isopropyl, t-butyl, and neopentyl), optionally substituted C 1-12 alkoxy, or optionally substituted amino (e.g., -NR 1 R 2 , in which each R 1 and R 2 is, independently, H or optionally substituted C1-12 alkyl).
- Non-limiting antimony precursors include SbCl3, Sb(OEt)3, Sb(On-Bu)3, and Sb(NMe 2 ) 3 .
- Other precursors include indium precursors, such as in InR3, wherein each R is, independently, halo, optionally substituted C1-12 alkyl (e.g., methyl, ethyl, isopropyl, t-butyl, and neopentyl), or a diketonate (e.g., -OC(R 4 )-Ak-(R 5 )CO-, in which each R 4 and R 5 is, independently, H or C1-12 alkyl).
- Non-limiting indium precursors include InCp, in which Cp is cyclopentadienyl, InCl3, InMe3, In(acac)3, In(CF3COCHCOCH3)3, and In(thd)3.
- the precursor can include iodine, such as RI, wherein R is iodo (I) or optionally substituted C 1-12 alkyl, or periodate.
- iodine precursors include iodine gas (I 2 ), diiodomethane (CH2I2), and periodate.
- precursors can be any having a structure of formulas (I), (II), and (IIa), as described above; or formulas (III), (IV), (V), (VI), (VII), or (VIII), as described below.
- any of the substituents M, R, X, or L, as described herein, can be employed in any of formulas (I), (II), (IIa), (III), (IV), (V), (VI), (VII), or (VIII).
- Yet other exemplary EUV-sensitive materials, as well as processing methods and apparatuses, are described in U.S. Pat. No.9,996,004; Int. Pat. Pub. No. WO 2020/102085; and 11151-1WO_LAMRP876WO 54 Int. Pat. Pub. No. WO 2019/217749, each of which is incorporated herein by reference in its entirety.
- the films, layers, and methods herein can be employed with any useful precursor.
- the precursor includes a metal halide having the following formula (III): MX n (III), in which M is a metal, X is halo, and n is 2 to 4, depending on the selection of M.
- M is a metal
- X is halo
- n is 2 to 4, depending on the selection of M.
- Exemplary metals for M include Sn, Te, Bi, or Sb.
- Exemplary metal halides include SnBr4, SnCl4, SnI4, and SbCl 3 .
- Another non-limiting precursor includes a structure having formula (IV): MRn (IV), in which M is a metal; each R is independently H, an optionally substituted alkyl, amino (e.g., -NR 2 , in which each R is independently alkyl), optionally substituted bis(trialkylsilyl)amino (e.g., -N(SiR3)2, in which each R is independently alkyl), or an optionally substituted trialkylsilyl (e.g., -SiR3, in which each R is independently alkyl); and n is 2 to 4, depending on the selection of M.
- Exemplary metals for M include Sn, Te, Bi, or Sb.
- the alkyl group may be CnH2n+1, where n is 1, 2, 3, or greater.
- organometallic agents include SnMe4, SnEt4, TeRn, RTeR, t-butyl tellurium hydride (Te(t-Bu)(H)), dimethyl tellurium (TeMe 2 ), di(t-butyl) tellurium (Te(t-Bu) 2 ), di(isopropyl)tellurium (Te(i-Pr) 2 ), bis(trimethylsilyl)tellurium (Te(SiMe 3 ) 2 ), bis(triethylsilyl) tellurium (Te(SiEt 3 ) 2 ), tris(bis(trimethylsilyl)amido) bismuth (Bi[N(SiMe3)2]3), Sb(NMe2)3, and the like.
- Another non-limiting precursor can include a capping agent having the following formula (V): MLn (V), in which M is a metal; each L is independently an optionally substituted alkyl, amino (e.g., -NR 1 R 2 , in which each of R 1 and R 2 can be H or alkyl, such as any described herein), alkoxy (e.g., -OR, in which R is alkyl, such as any described herein), halo, or other organic substituent; and n is 2 to 4, depending on the selection of M.
- Exemplary metals for M include Sn, Te, Bi, or Sb.
- Exemplary ligands include dialkylamino (e.g., dimethylamino, methylethylamino, and diethylamino), alkoxy (e.g., t-butoxy and isopropoxy), halo (e.g., F, Cl, Br, and I), or other organic substituents (e.g., acetylacetone or N 2 ,N 3 -di-tertbutyl-butane-2,3- diamino).
- dialkylamino e.g., dimethylamino, methylethylamino, and diethylamino
- alkoxy e.g., t-butoxy and isopropoxy
- halo e.g., F, Cl, Br, and I
- other organic substituents e.g., acetylacetone or N 2 ,N 3 -di-tertbutyl-butane-2,3- diamino
- Non-limiting capping agents include SnCl 4 ; SnI 4 ; Sn(NR 2 ) 4 , wherein each of R is 11151-1WO_LAMRP876WO 55 independently methyl or ethyl; or Sn(t-BuO)4. In some embodiments, multiple types of ligands are present.
- a precursor can include a hydrocarbyl-substituted capping agent having the following formula (VI): RnMXm (VI), wherein M is a metal, R is a C 2-10 alkyl or substituted alkyl having a beta-hydrogen, and X is a suitable leaving group upon reaction with a hydroxyl group of the exposed hydroxyl groups.
- R may be t-butyl, t-pentyl, t-hexyl, cyclohexyl, isopropyl, isobutyl, sec-butyl, n- butyl, n-pentyl, n-hexyl, or derivatives thereof having a heteroatom substituent in the beta position. Suitable heteroatoms include halogen (F, Cl, Br, or I), or oxygen (-OH or -OR).
- X may be dialkylamino (e.g., dimethylamino, methylethylamino, or diethylamino), alkoxy (e.g., t-butoxy, isopropoxy), halo (e.g., F, Cl, Br, or I), or another organic ligand.
- dialkylamino e.g., dimethylamino, methylethylamino, or diethylamino
- alkoxy e.g., t-butoxy, isopropoxy
- halo e.g., F, Cl, Br, or I
- hydrocarbyl-substituted capping agents examples include t-butyltris(dimethylamino)tin (Sn(t- Bu)(NMe2)3), n-butyltris(dimethylamino)tin (Sn(n-Bu)(NMe2)3), t-butyltris(diethylamino)tin (Sn(t-Bu)(NEt 2 ) 3 ), di(t-butyl)di(dimethylamino)tin (Sn(t-Bu) 2 (NMe 2 ) 2 ), sec- butyltris(dimethylamino)tin (Sn(s-Bu)(NMe2)3), n-pentyltris(dimethylamino)tin (Sn(n- pentyl)(NMe2)3), i-butyltris(dimethylamino) tin i- propyltris(dimethylamino)
- a precursor includes at least one alkyl group on each metal atom that can survive the vapor-phase reaction, while other ligands or ions coordinated to the metal atom can be replaced by the counter-reactants.
- M is Sn, Te, Bi, or Sb.
- each L is independently amino (e.g., -NR 1 R 2 , in which each of R 1 and R 2 can be H or alkyl, such as any described herein), alkoxy (e.g., -OR, in which R is alkyl, such as any described herein), or halo (e.g., F, Cl, Br, or I).
- Exemplary agents include SnMe3Cl, SnMe2Cl2, SnMeCl3, SnMe(NMe 2 ) 3 , SnMe 2 (NMe 2 ) 2 , SnMe 3 (NMe 2 ), and the like.
- the non-limiting precursor includes an organometallic agent having the formula (VIII): M a L c (VIII), in which M is a metal; L is a ligand, ion, or other moiety which is reactive with the counter- UHDFWDQW ⁇ D ⁇ DQG ⁇ F ⁇ ,Q ⁇ SDUWLFXODU ⁇ HPERGLPHQWV ⁇ F ⁇ ⁇ Q ⁇ – 1, and n is 2, 3, or 4.
- M is Sn, Te, Bi, or Sb.
- Counter-reactants preferably have the ability to replace the reactive moieties ligands or ions (e.g., L in formulas herein) so as to link at least two metal atoms via chemical bonding.
- R can be an optionally substituted alkyl (e.g., C 1-10 alkyl).
- alkyl is substituted with one or more halo (e.g., halo-substituted C 1-10 alkyl, including one, two, three, four, or more halo, such as F, Cl, Br, or I).
- R substituents include CnH2n+1 ⁇ SUHIHUDEO ⁇ ZKHUHLQ ⁇ Q ⁇ DQG ⁇ &nFxH(2n+1-x) ⁇ ZKHUHLQ ⁇ Q ⁇ [ ⁇ ,Q various embodiments, R has at least one beta-hydrogen or beta-fluorine.
- R may be selected from the group consisting of i-propyl, n-propyl, t-butyl, i-butyl, n-butyl, sec-butyl, n- pentyl, i-pentyl, t-pentyl, sec-pentyl, and mixtures thereof.
- L may be any moiety readily displaced by a counter- reactant to generate an M-OH moiety, such as a moiety selected from the group consisting of an amino (e.g., -NR 1 R 2 , in which each of R 1 and R 2 can be H or alkyl, such as any described herein), alkoxy (e.g., -OR, in which R is alkyl, such as any described herein), carboxylates, halo (e.g., F, Cl, Br, or I), and mixtures thereof.
- an amino e.g., -NR 1 R 2 , in which each of R 1 and R 2 can be H or alkyl, such as any described herein
- alkoxy e.g., -OR, in which R is alkyl, such as any described herein
- carboxylates e.g., F, Cl, Br, or I
- halo e.g., F, Cl, Br, or I
- Counter-reactants preferably have the ability to replace the reactive moieties, ligands, or ions (e.g., L in formulas herein) so as to link at least two metal atoms via chemical bonding.
- exemplary counter-reactants include oxygen-containing counter-reactants, such as oxygen (O2), ozone (O3), water, peroxides (e.g., hydrogen peroxide), oxygen plasma, water plasma, alcohols, dihydroxy alcohols, polyhydroxy alcohols, fluorinated dihydroxy alcohol, fluorinated polyhydroxy alcohols, fluorinated glycols, formic acid, and other sources of hydroxyl moieties, as well as combinations thereof.
- a counter-reactant reacts with the precursor by forming oxygen bridges between neighboring metal atoms.
- Other potential counter-reactants include hydrogen sulfide and hydrogen disulfide, which can crosslink metal atoms via sulfur bridges and bis(trimethylsilyl)tellurium, which can crosslink metal atoms via tellurium bridges.
- hydrogen iodide may be utilized to incorporate iodine into the film.
- Non-limiting counter-reactants include a chalcogenide precursor having the formula ZR2, wherein: Z is sulfur, selenium, or tellurium; and each R is, independently, H, optionally substituted alkyl (e.g., methyl, ethyl, n-propyl, isopropyl, n-butyl, t-butyl, etc.), optionally substituted alkenyl, optionally substituted aryl, optionally substituted amino, optionally substituted alkoxy, or optionally substituted trialkylsilyl.
- Z is sulfur, selenium, or tellurium
- each R is, independently, H, optionally substituted alkyl (e.g., methyl, ethyl, n-propyl, isopropyl, n-butyl, t-butyl, etc.), optionally substituted alkenyl, optionally substituted aryl, optionally substituted amino, optionally substituted alkoxy, or optionally substitute
- organometallic agents include SnMeCl 3 , (N 2 ,N 3 -di-t-butyl-butane-2,3- diamido) tin(II) (Sn(tbba)), bis(bis(trimethylsilyl)amido) tin(II), tetrakis(dimethylamino) tin(IV) (Sn(NMe2)4), t-butyl tris(dimethylamino) tin (Sn(t-butyl)(NMe2)3), i-butyl tris(dimethylamino) tin (Sn(i-Bu)(NMe 2 ) 3 ), n-butyl tris(dimethylamino) tin (Sn(n- Bu)(NMe 2 ) 3 ), sec-butyl tris(dimethylamino) tin (Sn(s-Bu)(NMe 2 ) 3 ),
- patterning structure can include a surface layer or film comprising exposed hydroxyl groups or hydroxyl-terminated SnO x .
- the hydroxyl-terminated SnOx layer may offer benefits such as improved adhesion of materials deposited on the surface of the substrate and enhanced absorption of EUV (or other radiation) during patterning. Sensitivity to EUV or other irradiation and resolution may be dependent on the properties of the SnOx layer, such as thickness, density and short-range charge transfer characteristics.
- the SnO x layer has a thickness of from 0.1 nm to 20 nm, or from 0.2 nm to 10 nm, or from 0.5 nm to 5 nm.
- the hydroxyl-terminated SnOx layer is deposited on a surface of the substrate by vapor deposition.
- the deposition comprises reacting Sn- X n with an oxygen-containing counter-reactant, wherein X is a ligand such as dialkylamino, (e.g., dimethylamino, methylethylamino, and diethylamino), alcohol (e.g., t-butoxy, and isopropoxy), halogen (e.g., F, Cl, Br, and I), or other organic substituent (e.g., acetylacetone, N2,N3-di-tertbutyl-butane-2,3-diamino).
- dialkylamino e.g., dimethylamino, methylethylamino, and diethylamino
- alcohol e.g., t-butoxy, and isopropoxy
- halogen e.g., F, Cl, Br, and I
- other organic substituent e.g., acetylacetone, N2,N3-di-
- Sn-X n may be SnCl 4 , SnI 4 , or Sn(NR 2 ) 4 wherein R is methyl or ethyl, or Sn(t-BuO)4.
- the oxygen-containing counter-reactant may be selected from the group consisting of water, hydrogen peroxide, formic acid, alcohols, oxygen, ozone, and combinations thereof.
- 11151-1WO_LAMRP876WO 58 [0317] Suitable vapor deposition processes include chemical vapor deposition (CVD), atomic layer deposition (ALD), plasma-enhanced chemical vapor deposition (PECVD), or plasma-enhanced atomic layer deposition (PEALD).
- the deposition is ALD, in a cyclical process of depositing the Sn-X n and depositing the oxygen-containing counter-reactant.
- the deposition is CVD, by simultaneously flowing the Sn-X n and the oxygen-containing counter-reactant.
- Materials and processes among those useful herein for depositing SnO x layers are described in Nazarov et al., Atomic Layer Deposition of Tin Dioxide Nanofilms: A Review, 40 Rev. Adv. Mater. Sci. 262 (2015).
- a SnOx substrate may be deposited by a CVD or ALD process, as described herein.
- a surface activation operation may be used to activate the surface for future operations.
- Adhesion may also be enhanced by inducing roughness in the substrate surface to increase the surface area available for interaction, as well as directly improve mechanical adhesion. For example, first a sputtering process using Ar or other non-reactive ion bombardment can be used to produce rough surfaces.
- the surface can be terminated with a desired surface functionality as described above (e.g., hydroxyl and/or carboxylic acid groups).
- a desired surface functionality e.g., hydroxyl and/or carboxylic acid groups.
- a chemically reactive oxygen-containing plasma such as CO 2 , O 2 , H 2 O (or mixtures of H 2 and O 2 ) can be used to etch away a thin layer of film with local non-uniformity and simultaneously terminate with ⁇ OH, ⁇ OOH, or ⁇ COOH groups. This may be done with or without bias.
- this approach could serve the dual purpose of surface roughening and chemical activation of the substrate surface, either for direct adhesion to an inorganic metal-oxide based resist or as an intermediate surface modification for further functionalization.
- the patterning structure can include any useful substrate.
- an incoming wafer can be prepared with a substrate surface of a desired material, with the uppermost material being the layer into which the resist pattern is transferred.
- the material selection 11151-1WO_LAMRP876WO 59 may vary depending on integration, it is generally desired to select a material which can be etched with high selectivity to (i.e., much faster than) the EUV resist or imaging layer.
- the substrate is a hardmask, which is used in lithographic etching of an underlying semiconductor material.
- the hardmask may comprise any of a variety of materials, including amorphous carbon (a-C), tin oxide (e.g., SnOx), silicon oxide (e.g., SiOx, including SiO 2 ), silicon oxynitride (e.g., SiO x N y ), silicon oxycarbide (e.g., SiO x C y ), silicon nitride (e.g., Si 3 N 4 ), titanium oxide (e.g., TiO 2 ), titanium nitride (e.g., TiN), tungsten (e.g., W), doped carbon (e.g., W-doped C), tungsten oxide (e.g., WOx), hafnium oxide (e.g., HfO2), zirconium oxide (e.g., ZrO2), antimony oxide (Sb2O3), zinc oxide (ZNO), indium oxide (In2O3), tellurium (Te) and tell
- Suitable substrate materials can include various carbon-based films (e.g., ashable hardmask (AHM), silicon-based films (e.g., SiOx, SiCx, SiOxCy, SiOxNy, SiOxCyNz), a-Si:H, poly-Si, or SiN), or any other (generally sacrificial) film applied to facilitate the patterning process).
- the substrate may preferably comprise SnOx, such as SnO2.
- the layer may be from 1 nm to 100 nm thick, or from 2 nm to 10 nm thick.
- the surface (e.g., of the substrate and/or the film) comprises exposed hydroxyl groups on its surface.
- the surface may be any surface that comprises, or has been treated to produce, an exposed hydroxyl surface.
- Such hydroxyl groups may be formed on the surface by surface treatment of a substrate using oxygen plasma, water plasma, or ozone.
- the surface of the film can be treated to provide exposed hydroxyl groups, upon which a capping layer can be applied.
- the hydroxyl-terminated metal oxide layer has a thickness of from 0.1 nm to 20 nm, or from 0.2 nm to 10 nm, or from 0.5 nm to 5 nm.
- a material on a substrate such as a wafer, substrate, or other work piece.
- the work piece may be of various shapes, sizes, and materials.
- semiconductor wafer semiconductor wafer
- wafer wafer
- substrate wafer substrate
- partially fabricated integrated circuit can refer to a silicon wafer during any of many stages of integrated circuit fabrication thereon.
- a wafer or substrate used in the semiconductor device industry typically has a diameter of 200 mm, or 300 mm, or 450 mm.
- processing details recited herein are relevant for processing 300 mm diameter substrates, or for treating chambers that are configured to process 11151-1WO_LAMRP876WO 60 300 mm diameter substrates, and can be scaled as appropriate for substrates or chambers of other sizes.
- other work pieces that may be used implementations disclosed herein include various articles such as printed circuit boards and the like. The processes and apparatuses can be used in the fabrication of semiconductor devices, displays, and the like.
- EUV lithography makes use of EUV resists, which may be polymer-based chemically amplified resists produced by liquid-based spin-on techniques or metal oxide-based resists produced by dry vapor-deposited techniques. Such EUV resists can include any EUV-sensitive film or material described herein.
- Lithographic methods can include patterning the resist, e.g., by exposure of the EUV resist with EUV radiation to form a photo pattern, followed by developing the pattern by removing a portion of the resist according to the photo pattern to form a mask.
- the radiation sources most relevant to such lithography are DUV (deep-UV), which generally refers to use of 248 nm or 193 nm excimer laser sources; X-ray, which formally includes EUV at the lower energy range of the X-ray range; as well as e-beam, which can cover a wide energy range.
- DUV deep-UV
- X-ray which formally includes EUV at the lower energy range of the X-ray range
- e-beam which can cover a wide energy range.
- Such methods include those where a substrate (e.g., optionally having exposed hydroxyl groups) is contacted with a precursor (e.g., any described herein) to form a metal oxide (e.g., a layer including a network of metal oxide bonds, which may include other non-metal and non-oxygen groups) film as the imaging/PR layer on the surface of the substrate.
- a precursor e.g., any described herein
- a metal oxide e.g., a layer including a network of metal oxide bonds, which may include other non-metal and non-oxygen groups
- lithography includes use of a radiation source having a wavelength that is between 10 nm and 400 nm.
- Directly photopatternable EUV resists may be composed of or contain metals and/or metal oxides.
- the metals/metal oxides are highly promising in that they can enhance the EUV photon absorption and generate secondary electrons and/or show increased etch selectivity to 11151-1WO_LAMRP876WO 61 an underlying film stack and device layers.
- these resists have been developed using a wet (solvent) approach, which requires the wafer to move to the track, where it is exposed to developing solvent, dried and baked.
- Wet development does not only limit productivity but can also lead to line collapse due to surface tension effects during the evaporation of solvent between fine features.
- Dry development techniques have been proposed to overcome these issues by eliminating substrate delamination and interface failures.
- Dry development has its own challenges, including etch selectivity between unexposed and EUV exposed resist material which can lead to a higher dose to size requirement for effective resist exposure when compared to wet development. Suboptimal selectivity can also cause PR corner rounding due to longer exposures under etching gas, which may increase line CD variation in the following transfer etch step. Additional processes employed during lithography are described in detail below. Deposition processes, including dry deposition [0327] As discussed herein, the present disclosure provides methods for making underlayers and imaging layers on semiconductor substrates, which may be patterned using EUV or other next generation lithographic techniques.
- dry deposition can employ any useful precursor (e.g., hydrocarbon precursors, dopant precursors, metal halides, capping agents, or organometallic agents described herein) to provide the underlayer and the imaging layer.
- useful precursor e.g., hydrocarbon precursors, dopant precursors, metal halides, capping agents, or organometallic agents described herein
- Methods include those where polymerized organometallic materials are produced in a vapor and deposited above the underlayer.
- a spin-on formulation may be used.
- Deposition processes can include applying a EUV-sensitive material as a resist film or an EUV-sensitive film.
- Such EUV-sensitive films comprise materials which, upon exposure to EUV, undergo changes, such as the loss of bulky pendant ligands bonded to metal atoms in low density M- OH rich materials, allowing their crosslinking to denser M-O-M bonded metal oxide materials.
- EUV exposure results in further cross-linking between ligands bonded to metal atoms, thereby providing denser M-L-M bonded organometallic materials, in which L is a ligand.
- EUV exposure results in loss of ligands to provide M- OH materials that can be removed by positive tone developers.
- the unexposed film has a hydrophobic surface
- the exposed film has a hydrophilic surface (it being recognized that the hydrophilic properties of exposed and unexposed areas are relative to one another) under the conditions at which such subsequent processing is performed.
- the removal of material may be performed by leveraging differences in chemical composition, density, and cross-linking of the film. Removal may be by wet processing or dry processing, as further described herein.
- the thickness of the EUV-patternable film formed on the surface of the substrate may vary according to the surface characteristics, materials used, and processing conditions. In various embodiments, the film thickness may range from about 0.5 nm to about 100 nm. Preferably, the film has a sufficient thickness to absorb most of the EUV light under the conditions of EUV patterning. For example, the overall absorption of the resist film may be 30% or less (e.g., 10% or less, or 5% or less), so that the resist material at the bottom of the resist film is sufficiently exposed. In some embodiments, the film thickness is from 10 nm to 20 nm.
- the processes of the present disclosure have fewer restrictions on the surface adhesion properties of the substrate, and therefore can be applied to a wide variety of substrates.
- the deposited films may closely conform to surface features, providing advantages in forming masks over substrates, such as substrates having underlying features, without “filling in” or otherwise planarizing such features.
- the film e.g., underlayer and/or imaging layer
- Such a metal oxide layer can be deposited or applied by using any EUV-sensitive material described herein, such as a precursor (e.g., metal-containing precursor, a metal halide, a capping agent, or an organometallic agent) in combination with a counter-reactant.
- a precursor e.g., metal-containing precursor, a metal halide, a capping agent, or an organometallic agent
- a polymerized organometallic material is formed in vapor phase or in situ on the surface of the substrate in order to provide the metal oxide layer.
- the metal oxide layer may be employed as a film, an adhesion layer, or a capping layer.
- the metal oxide layer can include a hydroxyl-terminated metal oxide layer, which can be deposited by employing a capping agent (e.g., any described herein) with an oxygen-containing counter-reactant.
- a hydroxyl-terminated metal oxide layer can be 11151-1WO_LAMRP876WO 63 employed, e.g., as an adhesion layer between two other layers, such as between the substrate and the film and/or between the photoresist layer and the underlayer.
- Exemplary deposition techniques include any described herein, such as ALD (e.g., thermal ALD and plasma-enhanced ALD), spin-coat deposition, PVD including PVD co-sputtering, CVD (e.g., PE-CVD or LP-CVD), sputter deposition, e-beam deposition including e-beam co-evaporation, etc., or a combination thereof, such as ALD with a CVD component, such as a discontinuous, ALD-like process in which precursors and counter-reactants are separated in either time or space.
- ALD e.g., thermal ALD and plasma-enhanced ALD
- spin-coat deposition PVD including PVD co-sputtering
- CVD e.g., PE-CVD or LP-CVD
- sputter deposition e.g., PE-CVD or LP-CVD
- sputter deposition e.g., PE-CVD or LP-CV
- the thin films may include optional materials in addition to a precursor and a counter-reactant to modify the chemical or physical properties of the film, such as to modify the sensitivity of the film to EUV or enhancing etch resistance.
- optional materials may be introduced, such as by doping during vapor phase formation prior to deposition on the substrate, after deposition of the film, or both.
- a gentle remote H2 plasma may be introduced so as to replace some Sn-L bonds with Sn-H, for example, which can increase reactivity of the resist under EUV.
- methods can include mixing a vapor stream of a precursor (e.g., a metal- containing precursor, such as an organometallic agent) with an optional vapor stream of a counter-reactant so as to form a polymerized organometallic material and depositing the organometallic material onto the surface of the semiconductor substrate.
- a precursor e.g., a metal- containing precursor, such as an organometallic agent
- a counter-reactant e.g., a counter-reactant
- the mixing and depositing aspects of the process may be concurrent, in a substantially continuous process.
- two or more gas streams, in separate inlet paths, of sources of precursor and optional counter-reactant are introduced to the deposition chamber of a CVD apparatus, where they mix and react in the gas phase, to form agglomerated polymeric materials (e.g., via metal-oxygen-metal bond formation) or a film on the substrate.
- Gas streams may be introduced, for example, using separate injection inlets or a dual-plenum showerhead.
- the apparatus is configured so that the streams of precursor and optional counter- reactant are mixed in the chamber, allowing the precursor and optional counter-reactant to react 11151-1WO_LAMRP876WO 64 to form a polymerized organometallic material or a film (e.g., a metal oxide coating or agglomerated polymeric materials, such as via metal-oxygen-metal bond formation).
- the CVD process is generally conducted at reduced pressures, such as from 0.1 Torr to 10 Torr. In some embodiments, the process is conducted at pressures from 1 Torr to 2 Torr.
- the temperature of the substrate is preferably below the temperature of the reactant streams.
- the substrate temperature may be from 0°C to 250°C, or from ambient temperature (e.g., 23°C) to 150°C.
- the CVD process is generally conducted at reduced pressures, such as from 10 mTorr to 10 Torr. In some embodiments, the process is conducted at from 0.5 to 2 Torr.
- the temperature of the substrate is preferably at or below the temperature of the reactant streams.
- the substrate temperature may be from 0°C to 250°C, or from ambient temperature (e.g., 23°C) to 150°C.
- deposition of the polymerized organometallic material on the substrate occurs at rates inversely proportional to surface temperature.
- a potential advantage of using dry deposition methods is ease of tuning the composition of the film as it grows. In a CVD process, this may be accomplished by changing the relative flows of a first precursor and a second precursor during deposition.
- a film may also be deposited by an ALD process.
- the precursor(s) and optional counter-reactant are introduced at separate times, representing an ALD cycle.
- the precursors react on the surface, forming up to a monolayer of material at a time for each cycle. This may allow for excellent control over the uniformity of film thickness across the surface.
- the ALD process is generally conducted at reduced pressures, such as from 0.1 Torr to 10 Torr.
- the process is conducted from 1 Torr to 2 Torr. 11151-1WO_LAMRP876WO 65
- the substrate temperature may be from 0°C to 250°C, or from ambient temperature (e.g., 23°C) to 150°C.
- the process may be a thermal process or, preferably, a plasma-assisted deposition. [0341] Any of the deposition methods herein can be modified to allow for use of two or more different precursors.
- the precursors can include the same metal but different ligands. In another embodiment, the precursors can include different metal groups.
- alternating flows of various volatile precursors can provide a mixed metal-containing layer, such as use of a metal alkoxide precursor having a first metal (e.g., Sn) with a silyl-based precursor having a different second metal (e.g., Te).
- a metal alkoxide precursor having a first metal e.g., Sn
- a silyl-based precursor having a different second metal e.g., Te
- Processes herein can be used to achieve a surface modification.
- a vapor of the precursor may be passed over the wafer.
- the wafer may be heated to provide thermal energy for the reaction to proceed. In some iterations, the heating can be between about 50°C to about 250°C.
- pulses of the precursor may be used, separated by pump and/or purging steps.
- a first precursor may be pulsed between pulses of a second precursor pulses resulting in ALD or ALD-like growth.
- both precursors may be flowed at the same time.
- elements useful for surface modification include I, F, Sn, Bi, Sb, Te, and oxides or alloys of these compounds.
- the processes herein can be used to deposit a thin metal oxide or metal by ALD or CVD. Examples include tin oxide (SnOx), bismuth oxide (BiOx), and Te. Following deposition, the film may be capped with an alkyl substituted precursor of the form M a R b L c , as described elsewhere herein.
- a counter-reactant may be used to better remove the ligands, and multiple cycles may be repeated to ensure complete saturation of the substrate surface.
- the surface can then ready for the EUV-sensitive film to be deposited.
- One possible method is to produce a thin film of SnOx. Possible chemistries include growth of SnO 2 by cycling tetrakis(dimethylamino)tin and a counter-reactant such as water or O2 plasma. After the growth, a capping agent could be used. For example, isopropyltris(dimethylamino)tin vapor may be flown over the surface. [0344] Deposition processes can be employed on any useful surface.
- the “surface” is a surface onto which a film of the present technology is to be deposited or that is to be exposed to EUV during processing.
- a surface can be present on a substrate (e.g., upon which a film is to be deposited), on a film (e.g., upon which a capping layer can be deposited), on a hardmask, or on an underlayer.
- 11151-1WO_LAMRP876WO 66 Any useful substrate can be employed, including any material construct suitable for lithographic processing, particularly for the production of integrated circuits and other semiconducting devices.
- substrates are silicon wafers.
- Substrates may be silicon wafers upon which features have been created (“underlying topographical features”), having an irregular surface topography.
- underlying topographical features may include regions in which material has been removed (e.g., by etching) or regions in which materials have been added (e.g., by deposition) during processing prior to conducting a method of this technology.
- Such prior processing may include methods of this technology or other processing methods in an iterative process by which two or more layers of features are formed on the substrate. Without limiting the mechanism, function, or utility of the present technology, it is believed that, in some embodiments, methods of the present technology offer advantages relative to methods among those known in the art in which photolithographic films are deposited on the surface of substrates using spin casting methods.
- EUV exposure processes [0347] EUV exposure of the film can provide EUV exposed areas having activated reactive centers including a metal atom (M), which are produced by EUV-mediated cleavage events. Such reactive centers can include dangling metal bonds, M-H groups, cleaved M-ligand groups, dimerized M-M bonds, or M-O-M bridges.
- M metal atom
- EUV exposure can have a wavelength in the range of about 10 nm to about 20 nm in a vacuum ambient, such as a wavelength of from 10 nm to 15 nm, e.g., 13.5 nm.
- patterning can provide EUV exposed areas and EUV unexposed areas to form a pattern.
- the present technology can include patterning using EUV, as well as DUV or e-beam. In such patterning, the radiation is focused on one or more regions of the imaging layer. The exposure is typically performed such that imaging layer film comprises one or more regions that are not exposed to the radiation.
- the resulting imaging layer may comprise a plurality of exposed and unexposed regions, creating a pattern consistent with the creation of transistor or other features of a semiconductor device, formed by addition or removal of material from the substrate in subsequent processing of the substrate.
- EUV, DUV and e-beam radiation methods and equipment among useful herein include methods and equipment known in the art.
- 11151-1WO_LAMRP876WO 67 [0350]
- an organic hardmask e.g., an ashable hardmask of PECVD amorphous hydrogenated carbon
- a conventional photoresist process is patterned using a conventional photoresist process.
- EUV radiation is absorbed in the resist and in the substrate below, producing highly energetic photoelectrons (e.g., about 100 eV) and in turn a cascade of low-energy secondary electrons (e.g., about 10 eV) that diffuse laterally by several nanometers.
- highly energetic photoelectrons e.g., about 100 eV
- a cascade of low-energy secondary electrons e.g., about 10 eV
- These electrons increase the extent of chemical reactions in the resist which increases its EUV dose sensitivity.
- a secondary electron pattern that is random in nature is superimposed on the optical image. This unwanted secondary electron exposure results in loss of resolution, observable line edge roughness (LER) and linewidth variation in the patterned resist.
- a vacuum-integrated metal hardmask process and related vacuum-integrated hardware that combines film formation (deposition/condensation) and optical lithography with the result of greatly improved EUV lithography (EUVL) performance – e.g. reduced line edge roughness – is disclosed herein.
- EUVL EUV lithography
- a deposition (e.g., condensation) process e.g., ALD or MOCVD carried out in a PECVD tool, such as the Lam Vector®
- a metal-containing film such as a photosensitive metal salt or metal- containing organic compound (organometallic compound)
- a strong absorption in the EUV e.g., at wavelengths on the order of 10 nm to 20 nm
- This film photo-decomposes upon EUV exposure and forms a metal mask that is the pattern transfer layer during subsequent etching (e.g., in a conductor etch tool, such as the Lam 2300® Kiyo®).
- the EUV-patternable thin film is patterned by exposure to a beam of EUV light, typically under relatively high vacuum.
- the metal- containing film can then be deposited in a chamber integrated with a lithography platform (e.g., a wafer stepper such as the TWINSCAN NXE: 3300B® platform supplied by ASML of Veldhoven, NL) and transferred under vacuum so as not to react before exposure.
- EUV exposed or unexposed areas can be removed by any useful development process.
- the EUV exposed area can have activated reactive centers, such as dangling metal bonds, M-H groups, or dimerized M-M bonds.
- M-H groups can be selectively removed by employing one or more dry development processes (e.g., halide chemistry).
- M-M bonds can be selectively removed by employing a wet development process, e.g., use of hot ethanol and water to provide soluble M(OH)n groups.
- EUV exposed areas are removed by use of wet development (e.g., by using a positive tone developer).
- EUV unexposed areas are removed by use of dry development.
- Dry development processes can include use of halides, such as HCl- or HBr-based processes.
- the approach is understood to leverage the chemical reactivity of the dry-deposited EUV photoresist films with the clean chemistry (e.g., HCl, HBr, and BCl3) to form volatile products using vapors or plasma.
- the dry-deposited EUV photoresist films can be removed with etch rates of up to 1 nm/s. The quick removal of dry-deposited EUV photoresist films by these chemistries is applicable to chamber cleaning, backside clean, bevel clean, and PR developing.
- Plasma processes include transformer coupled plasma (TCP), inductively coupled plasma (ICP), or capacitively coupled plasma (CCP), employing equipment and techniques among those known in the art.
- TCP transformer coupled plasma
- ICP inductively coupled plasma
- CCP capacitively coupled plasma
- a process may be conducted at a pressure of > 0.5 mTorr (e.g., such as from 1 mTorr to 100 mTorr), at a power level of ⁇ 1000 W (e.g., ⁇ 500 W).
- Temperatures may be from 30°C to 300°C (e.g., 30°C to 120°C), at flow rate of 100 to 1000 standard cubic centimeters per minute (sccm), e.g., about 500 sccm, for from 1 to 3000 seconds (e.g., 10 seconds to 600 seconds).
- a remote plasma/UV radiation is used to generate radicals from the H 2 and Cl 2 and/or Br 2 , and the hydrogen and halide radicals are flowed to the reaction chamber to contact the patterned EUV photoresist on the substrate layer of the wafer.
- Suitable plasma power may range from 100 W to 500 W, with no bias.
- the substrate is exposed to dry development chemistry (e.g., a Lewis Acid) in a vacuum chamber (e.g., oven).
- a vacuum chamber e.g., oven
- Suitable chambers can include a vacuum line, a dry development hydrogen halide chemistry gas (e.g., HBr, HCl) line, and heaters for temperature control.
- the chamber interior can be coated with corrosion resistant films, such as organic polymers or inorganic coatings.
- the process conditions for the dry development may be reactant flow of 100 sccm to 500 sccm (e.g., 500 sccm HBr or HCl), temperature of -10°C to 120°C (e.g., -10°C), pressure of 1 mTorr to 500 mTorr (e.g., 300 mTorr) with no plasma and for a time of about 10 sec to 1 min, dependent on the photoresist film and their composition and properties.
- sccm e.g., 500 sccm HBr or HCl
- temperature of -10°C to 120°C e.g., -10°C
- pressure of 1 mTorr to 500 mTorr e.g., 300 mTorr
- methods of the present disclosure combine all dry steps of film deposition, formation by vapor deposition, (EUV) lithographic photopatterning, and dry development.
- EUV vapor deposition
- a substrate may directly go to a dry development/etch chamber following photopatterning in an EUV scanner.
- Such processes may avoid material and productivity costs associated with a wet development.
- a dry process can also provide more tunability and give further CD control and/or scum removal.
- the EUV photoresist containing some amount of metal, metal oxide and organic components
- a thermal, plasma e.g., including possibly photoactivated plasma, such as lamp-heated or UV lamp heated
- the dry development can result in a positive tone, in which the R x Z y species selectively removes the exposed material, leaving behind the unexposed counterpart as a mask.
- the exposed portions of organotin oxide-based photoresist films are removed by dry development in accordance with this disclosure.
- Positive tone dry development may be achieved by the selective dry development (removal) of EUV exposed regions exposed to flows comprising hydrogen halides or hydrogen and halides, including HCl 11151-1WO_LAMRP876WO 70 and/or HBr without striking a plasma, or flows of H2 and Cl2 and/or Br2 with a remote plasma or UV radiation generated from plasma to generate radicals.
- Wet development methods can also be employed. In particular embodiments, such wet developments methods are used to remove EUV exposed regions to provide a positive tone photoresist or a negative tone resist.
- Exemplary, non-limiting wet development can include use of an alkaline developer (e.g., an aqueous alkaline developer), such as those including ammonium, e.g., ammonium hydroxide (NH 4 OH); ammonium-based ionic liquids, e.g., tetramethylammonium hydroxide (TMAH), tetraethylammonium hydroxide (TEAH), tetrapropylammonium hydroxide (TPAH), tetrabutylammonium hydroxide (TBAH), or other quaternary alkylammonium hydroxides; an organoamine, such as mono-, di-, and tri- organoamines (e.g., dimethylamine, diethylamine, ethylenediamine, triethylenetetramine); or an alkanolamine, such as monoethanolamine, diethanolamine, triethanolamine, or diethyleneglycolamine.
- an alkaline developer e.g., an
- the alkaline developer can include nitrogen- containing bases, e.g., compounds having the formula R N1 NH2, R N1 R N2 NH, R N1 R N2 R N3 N, or R N1 R N2 R N3 R N4 N + X 1 ⁇ , where each of R N1 , R N2 , R N3 , and R N4 is, independently, an organo substituent (e.g., optionally substituted alkyl or any described herein), or two or more organo substituents that can be joined together, and X 1 ⁇ may comprise OH ⁇ , F ⁇ , Cl ⁇ , Br ⁇ , I ⁇ , or other art-known quaternary ammonium cationic species.
- nitrogen- containing bases e.g., compounds having the formula R N1 NH2, R N1 R N2 NH, R N1 R N2 R N3 N, or R N1 R N2 R N3 R N4 N + X 1 ⁇ , where each of R N
- an acidic developer e.g., an aqueous acidic developer or an acid developer in an organic solvent
- a halide e.g., HCl or HBr
- an organic acid e.g., formic acid, acetic acid, or citric acid
- an organofluorine compound e.g., trifluoroacetic acid
- an organic developer such as a ketone (e.g., 2-heptanone, cyclohexanone, or acetRQH ⁇ DQ ⁇ HVWHU ⁇ H ⁇ J ⁇ -butyrolactone or ethyl 3-ethoxypropionate (EEP)
- an alcohol e.g., isopropyl alcohol (IPA)
- an ether such as a glycol ether (e.g., propylene glycol methyl ether (PGME)
- the positive tone developer is an aqueous alkaline developer (e.g., including NH 4 OH, TMAH, TEAH, TPAH, or TBAH).
- the negative tone developer is an aqueous acidic developer, an acidic developer in an organic solvent, or an organic developer (e.g., HCl, HBr, formic acid, trifluoroacetic acid, 2-heptanone, IPA, PGME, PGMEA, or combinations thereof).
- 11151-1WO_LAMRP876WO 71 Post-application processes
- the vapor and/or the plasma can be limited to a specific region of the wafer to ensure that only the backside and the bevel are removed, without any film degradation on the frontside of the wafer.
- the dry-deposited EUV photoresist films being removed are generally composed of Sn, O and C, but the same clean approaches can be extended to films of other metal oxide resists and materials. In addition, this approach can also be used for film strip and PR rework.
- Suitable process conditions for a dry bevel edge and backside clean may be a reactant flow of 100 sccm to 500 sccm (e.g., 500 sccm HCl, HBr, or H2 and Cl2 or Br2, BCl3 or H2), temperature of -10°C to 120°C (e.g., 20°C), pressure of 20 mTorr to 500 mTorr (e.g., 300 mTorr), plasma power of 0 to 500 W at high frequency (e.g., 13.56 MHz), and for a time of about 10 sec to 20 sec, dependent on the photoresist film and composition and properties.
- 500 sccm e.g., 500 sccm HCl, HBr, or H2 and Cl2 or Br2, BCl3 or H2
- temperature of -10°C to 120°C e.g., 20°C
- pressure of 20 mTorr to 500 mTorr e.g
- Photolithography processes typically involve one or more bake steps, to facilitate the chemical reactions required to produce chemical contrast between exposed and unexposed areas of the photoresist.
- bake steps are typically performed on tracks where the wafers are baked on a hot-plate at a pre-set temperature under ambient air or in some cases N 2 flow. More careful control of the bake ambient as well as introduction of additional reactive gas component in the ambient during these bake steps can help further reduce the dose requirement and/or improve pattern fidelity.
- one or more post treatments to metal and/or metal oxide-based photoresists after deposition e.g., post-application bake (PAB)
- PARB post-application bake
- PEB post-exposure bake
- PDB post-development bake
- Such processing can involve a thermal process with the control of temperature, gas ambient, 11151-1WO_LAMRP876WO 72 and moisture, resulting in improved dry development performance in processing to follow.
- a remote plasma might be used.
- a thermal process with control of temperature, gas ambient (e.g., air, H 2 O, CO 2 , CO, O 2 , O 3 , CH 4 , CH 3 OH, N 2 , H 2 , NH 3 , N 2 O, NO, Ar, He, or their mixtures) or under vacuum, and moisture can be used after deposition and before exposure to change the composition of unexposed metal and/or metal oxide photoresist.
- gas ambient e.g., air, H 2 O, CO 2 , CO, O 2 , O 3 , CH 4 , CH 3 OH, N 2 , H 2 , NH 3 , N 2 O, NO, Ar, He, or their mixtures
- moisture can be used after deposition and before exposure to change the composition of unexposed metal and/or metal oxide photoresist.
- the change can increase the EUV sensitivity of the material and thus lower dose to size and edge roughness can be achieved after exposure and dry development.
- a thermal process with the control of temperature, gas atmosphere (e.g., air, H 2 O, CO 2 , CO, O 2 , O 3 , CH 4 , CH 3 OH, N 2 , H 2 , NH 3 , N2O, NO, Ar, He, or their mixtures) or under vacuum, and moisture can be used to change the composition of both unexposed and exposed photoresist.
- gas atmosphere e.g., air, H 2 O, CO 2 , CO, O 2 , O 3 , CH 4 , CH 3 OH, N 2 , H 2 , NH 3 , N2O, NO, Ar, He, or their mixtures
- etch rate difference of dry development etch gas between the unexposed and exposed photoresist.
- a higher etch selectivity can thereby be achieved.
- PEB can be performed in air and in the optional presence of moisture and CO2.
- post-development processing e.g., post development bake or PDB
- a thermal process with the control of temperature, gas atmosphere (e.g., air, H2O, CO2, CO, O2, O3, CH4, CH3OH, N2, H2, NH3, N2O, NO, Ar, He, or their mixtures) or under vacuum (e.g., with UV), and moisture can be used to change the composition of the unexposed photoresist.
- gas atmosphere e.g., air, H2O, CO2, CO, O2, O3, CH4, CH3OH, N2, H2, NH3, N2O, NO, Ar, He, or their mixtures
- vacuum e.g., with UV
- the condition also includes use of plasma (e.g., including O 2 , O 3 , Ar, He, or their mixtures).
- the change can increase the hardness of material, which can be beneficial if the film will be used as a resist mask when etching the underlying substrate.
- the thermal process could be replaced by a remote plasma process to increase reactive species to lower the energy barrier for the reaction and increase productivity. Remote plasma can generate more reactive radicals and therefore lower the reaction temperature/time for the treatment, leading to increased productivity.
- one or multiple processes may be applied to modify the photoresist itself to increase dry development selectivity.
- This thermal or radical modification can increase the 11151-1WO_LAMRP876WO 73 contrast between unexposed and exposed material and thus increase the selectivity of the subsequent dry development step.
- the resulting difference between the material properties of unexposed and exposed material can be tuned by adjusting process conditions including temperature, gas flow, moisture, pressure, and/or RF power.
- the large process latitude enabled by dry development which is not limited by material solubility in a wet developer solvent, allows more aggressive conditions to be applied further enhancing the material contrast that can be achieved.
- the resulting high material contrast feeds back a wider process window for dry development and thus enables increased productivity, lower cost, and better defectivity performance.
- a substantial limitation of wet-developed resist films is limited temperature bakes.
- wet development relies on material solubility
- heating to or beyond 220°C, for example can greatly increase the degree of cross-linking in both exposed and unexposed regions of a metal-containing PR film such that both become insoluble in the wet development solvents, so that the film can no longer by reliably wet developed.
- the treatment temperature in a PAB, PEB, or PDB can be varied across a much broader window to tune and optimize the treatment process, for example from about 90°C to 250°C, such as 90°C to 190°C, for PAB, and about 170°C to 250°C or more, such as 190°C to 240°C, for PEB and/or PDB. Decreasing etch rate and greater etch selectivity has been found to occur with higher treatment temperatures in the noted ranges.
- the PAB, PEB, and/or PDB treatments may be conducted with gas ambient flow in the range of 100 sccm to 10000 sccm, moisture content in the amount of a few percent up to 100% (e.g., 20%-50%), at a pressure between atmospheric and vacuum, and for a duration of about 1 to 15 minutes, for example about 2 minutes.
- gas ambient flow in the range of 100 sccm to 10000 sccm
- moisture content in the amount of a few percent up to 100% (e.g., 20%-50%)
- a pressure between atmospheric and vacuum e.g., 90%-50%
- a duration of about 1 to 15 minutes for example about 2 minutes.
- These findings can be used to tune the treatment conditions to tailor or optimize processing for particular materials and circumstances. For example, the selectivity achieved for a given EUV dose with a 220°C to 250°C PEB thermal treatment in air at about 20% humidity for about 2 minutes can be made similar to that for about a 30% higher EUV dose with no such thermal treatment.
- a thermal treatment such as described herein can be used to lower the EUV dose needed. Or, if higher selectivity is required and higher dose can 11151-1WO_LAMRP876WO 74 be tolerated, much higher selectivity, up to 100 times exposed vs. unexposed, can be obtained than would be possible in a wet development context.
- Yet other steps can include in situ metrology, in which physical and structural characteristics (e.g., critical dimension, film thickness, etc.) can be assessed during the photolithography process.
- FIG. 3A is an illustration of the interaction of an oxidizing gas with activatable moieties on the top surface of and within a bake sensitive underlayer beneath an imaging layer having exposed regions and unexposed regions.
- the bake sensitive underlayer includes a top underlayer surface and a bottom underlayer surface; and the imaging layer above it includes a top imaging layer surface and a bottom imaging layer surface.
- the oxidizing gas diffuses through the imaging layer from the imaging layer top surface and through the imaging layer bottom surface and oxidizes activatable moieties such as the C-H bonds (as shown) on the top surface of and within a bake sensitive underlayer to release reactive species such as oxygen radicals.
- the reactive species thus released can then diffuse into the imaging layer through the bottom surface of the imaging layer, from the top surface of the underlayer into the imaging layer and interact with the imaging layer, such as reacting with the imaging layer to promote cross-linking.
- the cross-linking may occur to some degree in both exposed and unexposed regions of the imaging layer, however, cross-linking occurs preferentially and to a greater degree in the exposed regions.
- FIG. 3B is a bar chart illustrating the C-H loss resulting from a post-exposure bake of patterning structures with underlayers treated with varied amounts of oxidizing gas in accordance with certain disclosed embodiments.
- FIG. 4 is a graphical illustration of the data from FIG. 3B.
- the formula is used to interpret the data of C-H loss values as measured by FTIR under different conditions and represents the data plotted in FIGS. 5B and 5C. The degree of synergy will be dependent upon the underlayer selected.
- the CH-Loss of the combined EUV + Bake steps is greater than the sum of the CH-Loss from the individual steps (definition of synergy).
- synergy is meant an unexpected positive effect resulting from a combination of conditions and/or materials which is greater than a merely additive effect.
- the synergy may be due to a synchronous interaction of process conditions (use of an oxidizing gas), process steps (bake and EUV exposure) and/or materials (such as a bake sensitive underlayer).
- 5B is a graphical illustration of the C-H loss as a function of EUV exposure dose resulting from a post-exposure bake of patterning structures when there is no underlayer.
- the control is a bare silicon wafer. The control was tested without a bake, and also at two different bake temperatures. The calculated CH-Loss shown already has the contribution to CH-Loss from the bake step subtracted out (as determined from the FIG. 5A equation).
- the 11151-1WO_LAMRP876WO 76 difference in C-H loss between the “NoBake” i.e.
- FIG. 5C is a graphical illustration of the C-H loss as a function of EUV exposure dose resulting from a post-exposure bake of patterning structures with a bake sensitive underlayer SHD2 at different temperatures, demonstrating the synergy of EUV exposure followed by a post exposure bake in accordance with certain disclosed embodiments.
- the bake sensitive underlayer was tested without a bake, and also at two different bake temperatures.
- the calculated CH-Loss shown already has the contribution to CH-Loss from the bake step subtracted out (as determined from the FIG.5A equation).
- the difference in C-H loss for the control between the “NoBake” (i.e. processed by EUV exposure only) and at 200 oC or 210 oC (i.e processed by EUV exposure and post exposure bake) indicates synergism when a patterning structure including a bake sensitive underlayer is treated with both EUV exposure and post exposure bake.
- a comparison of the gap between the no bake condition and the EUV treatment plus bake condition shows a far larger and greater than a mere additive impact on C-H loss with the bake sensitive underlayer (FIG. 5C) than without it (FIG. 5B).
- FIG. 6A is a bar chart showing C-H Loss of an imaging layer deposited on a control Si (lacking an underlayer) to four different bake sensitive underlayers (UL1, UL2, UL3 and UL4) beneath imaging layers after baking at 210 oC for 240 seconds at 600 Torr in the presence of an oxidative gas mixture, measured by FTIR. The mixture was 21% O 2 and 79% N 2 . The data suggests that CH loss results directly from the interaction between a bake sensitive underlayer and its overlying imaging layer.
- FIG.6B is a graph showing CH-Loss from Fig.6A plotted against the experimentally observed Dose to size for a 14 nM L/S patterned wafer.
- the linear correlation between Dose to size and CH-Loss (as determined by FTIR) demonstrates a clear capability of CH Loss data to predict Dose to size.
- Apparatus [0387] The present disclosure also includes any apparatus configured to perform any methods described herein.
- the apparatus for depositing a film includes a 11151-1WO_LAMRP876WO 77 deposition module comprising a chamber for depositing one or more precursor(s) to provide an underlayer and/or an imaging layer; a patterning module comprising an EUV photolithography tool with a source of sub-30 nm wavelength radiation; and a development module comprising a chamber for developing a film including such layers.
- the apparatus can further include a controller having instructions for such modules.
- the controller includes one or more memory devices, one or more processors, and system control software coded with instructions for conducting deposition of the film.
- Such includes can include for, in the deposition module, depositing one or more precursor(s) to provide an underlayer and/or an imaging layer; in the patterning module, patterning the layer(s) with sub-30 nm resolution directly by EUV exposure, thereby forming a pattern within the film; and in the development module, developing the film.
- the development module provides for removal of the EUV exposed or EUV unexposed area(s), thereby providing a pattern within the film.
- FIG. 7 depicts a schematic illustration of an embodiment of process station 300 having a process chamber body 302 for maintaining a low-pressure environment that is suitable for implementation of described vapor deposition and dry development embodiments as described herein.
- a plurality of process stations 300 may be included in a common low- pressure process tool environment.
- FIG. 8 depicts an embodiment of a multi- station processing tool 400, such as a VECTOR® processing tool available from Lam Research Corporation, Fremont, CA.
- a multi- station processing tool 400 such as a VECTOR® processing tool available from Lam Research Corporation, Fremont, CA.
- one or more hardware parameters of the process station 300 including those discussed in detail below may be adjusted programmatically by one or more computer controllers 350.
- a process station may be configured as a module in a cluster tool.
- FIG.10 depicts a semiconductor process cluster tool architecture with vacuum-integrated deposition and patterning modules suitable for implementation of the embodiments described herein.
- Such a cluster process tool architecture can include PR and underlayer deposition, resist exposure (EUV scanner), resist dry development and etch modules, as described above and further below with reference to FIGS. 11-12.
- certain of the processing functions can be performed consecutively in the same module, for example vapor deposition (e.g., PECVD), dry development and etch.
- embodiments of this disclosure are directed to apparatus for processing a substrate, the apparatus having a process chamber comprising a substrate support, 11151-1WO_LAMRP876WO 78 a process gas source connected with the process chamber and associated flow-control hardware, substrate handling hardware connected with the process chamber, and a controller having a processor and a memory.
- the processer and the memory are communicatively connected with one another, the processor is at least operatively connected with the flow-control and substrate handling hardware, and the memory stores computer-executable instructions for conducting the operations in the methods of making a pattering structure described herein.
- the memory may store computer-executable instructions for providing a hardmask disposed on a substrate, for example by chemical vapor deposition (e.g., PECVD).
- suitable hardmasks may be amorphous carbon ashable hardmask films, undoped or doped with B or W, for example.
- the memory may further store instructions for depositing an underlayer on the substrate and/or the hardmask, where the underlayer is configured to increase adhesion between the substrate and/or the hardmask and a subsequently formed EUV-sensitive inorganic photoresist, and to reduce EUV dose for effective EUV exposure of the photoresist.
- the underlayer may be or include a vapor deposited film of hydronated carbon doped with a non-carbon heteroatom (e.g., any herein, such as O, Si, N, W, B, I, Cl, etc.), the film having a thickness of no more than about 25 nm, and may include about 0-30% O.
- the underlayer may be vapor deposited on the substrate and/or the hardmask by PECVD or ALD using a hydrocarbon precursor and/or a dopant precursor. In other implementations, the underlayer may be vapor deposited on the substrate and/or the hardmask by PECVD or ALD using an oxocarbon precursor that co-reacts with H 2 or a hydrocarbon. In variations on this implementation, the oxocarbon precursor may further co-react with a Si source dopant during the deposition.
- the underlayer may be vapor deposited on the substrate and/or the hardmask by PECVD or ALD using a Si-containing precursor that co-reacts with an oxidizer (e.g., any O-containing precursor described herein). In variations on this implementation, the Si-containing precursor further co-reacts with a C source dopant. In some implementations, the underlayer may be vapor deposited on the substrate and/or the hardmask by PECVD as a termination operation of a vapor deposition on the substrate or vapor deposition of the hardmask on the substrate, for example by adjusting the precursor flows entering the PECVD process chamber to achieve the desired composition of the underlayer.
- a Si-containing precursor that co-reacts with an oxidizer (e.g., any O-containing precursor described herein).
- the Si-containing precursor further co-reacts with a C source dopant.
- the underlayer may be vapor deposited on the substrate and/or the hardmask by PECVD as a termination operation of
- the memory may further store instructions for forming an EUV-sensitive inorganic photoresist is formed on the photoresist underlayer.
- a suitable EUV-sensitive inorganic photoresist may be a metal oxide film, such as a EUV-sensitive tin oxide-based photoresist, such as described above.
- process station 300 fluidly communicates with reactant delivery system 301a for delivering process gases to a distribution showerhead 306.
- Reactant delivery system 301a optionally includes a mixing vessel 304 for blending and/or conditioning process gases, for delivery to showerhead 306.
- One or more mixing vessel inlet valves 320 may control introduction of process gases to mixing vessel 304.
- FIG. 7 includes an optional vaporization point 303 for vaporizing liquid reactant to be supplied to the mixing vessel 304.
- a liquid flow controller (LFC) upstream of vaporization point 303 may be provided for controlling a mass flow of liquid for vaporization and delivery to process station 300.
- the LFC may include a thermal mass flow meter (MFM) located downstream of the LFC.
- a plunger valve of the LFC may then be adjusted responsive to feedback control signals provided by a proportional-integral- derivative (PID) controller in electrical communication with the MFM.
- PID proportional-integral- derivative
- showerhead 306 distributes process gases toward substrate 312.
- the substrate 312 is located beneath showerhead 306 and is shown resting on a pedestal 308.
- showerhead 306 may have any suitable shape and may have any suitable number and arrangement of ports for distributing process gases to substrate 312.
- pedestal 308 may be raised or lowered to expose substrate 312 to a volume between the substrate 312 and the showerhead 306. It will be appreciated that, in some embodiments, pedestal height may be adjusted programmatically by a suitable computer controller 350.
- pedestal 308 may be temperature controlled via heater 310.
- the pedestal 308 may be heated to a temperature of greater than 0°C and up to 300°C or more, for example 50 to 120°C, such as about 65 to 80°C, during non-plasma thermal exposure of a photopatterned resist to hydrogen halide dry development chemistry, such as HBr or HCl. 11151-1WO_LAMRP876WO 80 [0400]
- pressure control for process station 300 may be provided by a butterfly valve 318. As shown in the embodiment of FIG.7, butterfly valve 318 throttles a vacuum provided by a downstream vacuum pump (not shown).
- pressure control of process station 300 may also be adjusted by varying a flow rate of one or more gases introduced to the process station 300.
- a position of showerhead 306 may be adjusted relative to pedestal 308 to vary a volume between the substrate 312 and the showerhead 306.
- a vertical position of pedestal 308 and/or showerhead 306 may be varied by any suitable mechanism within the scope of the present disclosure.
- pedestal 308 may include a rotational axis for rotating an orientation of substrate 312. It will be appreciated that, in some embodiments, one or more of these example adjustments may be performed programmatically by one or more suitable computer controllers 350.
- showerhead 306 and pedestal 308 electrically communicate with a RF power supply 314 and matching network 316 for powering a plasma.
- the plasma energy may be controlled by controlling one or more of a process station pressure, a gas concentration, an RF source power, an RF source frequency, and a plasma power pulse timing.
- RF power supply 314 and matching network 316 may be operated at any suitable power to form a plasma having a desired composition of radical species. Examples of suitable powers are up to about 500W.
- RF power supply 314 may provide RF power of any suitable frequency.
- RF power supply 314 may be configured to control high- and low-frequency RF power sources independently of one another.
- low-frequency RF frequencies may include, but are not limited to, frequencies between 50 kHz and 1000 kHz.
- high- frequency RF frequencies may include, but are not limited to, frequencies between 1.8 MHz and 2.45 GHz (e.g., about 13.56 MHz).
- any suitable parameters may be modulated discretely or continuously to provide plasma energy for the surface reactions.
- the plasma power may be intermittently pulsed to reduce ion bombardment with the substrate surface relative to continuously powered plasmas.
- the RF power supply may be operated at any suitable duty cycle.
- Suitable duty cycles include, but are not limited to, duty cycles of between about 5% and 90%.
- Acceptable process pressures are between about 20 mTorr to 5 Torr. 11151-1WO_LAMRP876WO 81 [0403]
- RF power can be continuous or pulsed between one or more levels. If pulsed operation is used, pulsing can be performed at a frequency in a range from 1 Hz to 1 MHz.
- chamber pressure is maintained at a predetermined pressure in a range from 5 mTorr to 450 mTorr.
- deposition and treatment are performed at a pressure in a range from 5 mTorr to 150 mTorr.
- deposition and treatment are performed at a pressure in a range from 5 mTorr to 35 mTorr.
- plasma strikes last on the order of a few seconds or more in duration. In certain implementations, much shorter plasma strikes may be used. These may be on the order of 10 ms to 1 second, typically, about 20 to 80 ms, with 50 ms being a specific example.
- Such very short RF plasma strikes require extremely quick stabilization of the plasma.
- the plasma generator may be configured such that the impedance match is set preset to a particular voltage, while the frequency is allowed to float. Conventionally, high-frequency plasmas are generated at an RF frequency at about 13.56 MHz.
- the frequency is allowed to float to a value that is different from this standard value.
- IOC input/output control
- the instructions for setting conditions for a process phase may be included in a corresponding recipe phase of a process recipe.
- process recipe phases may be sequentially arranged, so that all instructions for a process phase are executed concurrently with that process phase.
- instructions for setting one or more reactor parameters may be included in a recipe phase.
- a recipe phase may include instructions for setting a flow rate of a photoresist underlayer oxocarbon precursor and H 2 or hydrocarbon co-reactants and optional dopants.
- the controller 350 may include any of the features described below with respect to system controller 450 of FIG. 8. [0406] As described above, one or more process stations may be included in a multi station processing tool.
- FIG.8 shows a schematic view of an embodiment of a multi station processing tool 400 with an inbound load lock 402 and an outbound load lock 404, either or both of which may include a remote plasma source.
- a robot 406 at atmospheric pressure is configured to 11151-1WO_LAMRP876WO 82 move wafers from a cassette loaded through a pod 408 into inbound load lock 402 via an atmospheric port 410.
- a wafer is placed by the robot 406 on a pedestal 412 in the inbound load lock 402, the atmospheric port 410 is closed, and the load lock is pumped down.
- the inbound load lock 402 includes a remote plasma source
- the wafer may be exposed to a remote plasma treatment to treat a surface in the load lock prior to being introduced into a processing chamber 414. Further, the wafer also may be heated in the inbound load lock 402 as well, for example, to remove moisture and adsorbed gases.
- a chamber transport port 416 to processing chamber 414 is opened, and another robot (not shown) places the wafer into the reactor on a pedestal of a first station shown in the reactor for processing.
- FIG. 8 includes load locks, it will be appreciated that, in some embodiments, direct entry of a wafer into a process station may be provided.
- the depicted processing chamber 414 includes four process stations, numbered from 1 to 4 in the embodiment shown in FIG. 8. Each station has a heated pedestal (shown at 418 for station 1), and gas line inlets. It will be appreciated that in some embodiments, each process station may have different or multiple purposes. For example, in some embodiments, a process station may be switchable between dry development and etch process modes.
- processing chamber 414 may include one or more matched pairs of dry development and etch process stations. While the depicted processing chamber 414 includes four stations, it will be understood that a processing chamber according to the present disclosure may have any suitable number of stations. For example, in some embodiments, a processing chamber may have five or more stations, while in other embodiments a processing chamber may have three or fewer stations.
- FIG.8 depicts an embodiment of a wafer handling system 490 for transferring wafers within the processing chamber 414. In some embodiments, wafer handling system 490 may transfer wafers between various process stations and/or between a process station and a load lock. It will be appreciated that any suitable wafer handling system may be employed.
- FIG. 8 also depicts an embodiment of a system controller 450 employed to control process conditions and hardware states of process tool 400.
- System controller 450 may include one or more memory devices 456, one or more mass storage devices 454, and one or more processors 452.
- Processor 452 may include a CPU or computer, analog, and/or digital input/output connections, stepper motor controller boards, etc. 11151-1WO_LAMRP876WO 83 [0409]
- system controller 450 controls all of the activities of process tool 400.
- System controller 450 executes system control software 458 stored in mass storage device 454, loaded into memory device 456, and executed on processor 452.
- the control logic may be hard coded in the controller 450.
- System control software 458 may include instructions for controlling the timing, mixture of gases, gas flow rates, chamber and/or station pressure, chamber and/or station temperature, wafer temperature, target power levels, RF power levels, substrate pedestal, chuck and/or susceptor position, and other parameters of a particular process performed by process tool 400.
- System control software 458 may be configured in any suitable way.
- System control software 458 may be coded in any suitable computer readable programming language.
- system control software 458 may include input/output control (IOC) sequencing instructions for controlling the various parameters described above.
- IOC input/output control
- Other computer software and/or programs stored on mass storage device 454 and/or memory device 456 associated with system controller 450 may be employed in some embodiments. Examples of programs or sections of programs for this purpose include a substrate positioning program, a process gas control program, a pressure control program, a heater control program, and a plasma control program.
- a substrate positioning program may include program code for process tool components that are used to load the substrate onto pedestal 418 and to control the spacing between the substrate and other parts of process tool 400.
- a process gas control program may include code for controlling hydrogen halide gas composition (e.g., HBr or HCl gas as described herein) and flow rates and optionally for flowing gas into one or more process stations prior to deposition in order to stabilize the pressure in the process station.
- a pressure control program may include code for controlling the pressure in the process station by regulating, for example, a throttle valve in the exhaust system of the process station, a gas flow into the process station, etc.
- a heater control program may include code for controlling the current to a heating unit that is used to heat the substrate. Alternatively, the heater control program may control delivery of a heat transfer gas (such as helium) to the substrate.
- a plasma control program may include code for setting RF power levels applied to the process electrodes in one or more process stations in accordance with the embodiments herein.
- a pressure control program may include code for maintaining the pressure in the reaction chamber in accordance with the embodiments herein.
- the user interface may include a display screen, graphical software displays of the apparatus and/or process conditions, and user input devices such as pointing devices, keyboards, touch screens, microphones, etc.
- parameters adjusted by the system controller 450 may relate to process conditions. Non-limiting examples include process gas composition and flow rates, temperature, pressure, plasma conditions (such as RF bias power levels, frequency, and exposure time), etc. These parameters may be provided to the user in the form of a recipe, which may be entered utilizing the user interface.
- Signals for monitoring the process may be provided by analog and/or digital input connections of system controller 450 from various process tool sensors. The signals for controlling the process may be output on the analog and digital output connections of process tool 400.
- System controller 450 may provide program instructions for implementing the above- described deposition processes.
- the program instructions may control a variety of process parameters, such as direct current (DC) power level, RF bias power level, pressure, temperature, etc.
- the instructions may control the parameters to operate photoresist underlayer deposition processes according to various embodiments described herein.
- the system controller 450 will typically include one or more memory devices and one or more processors configured to execute the instructions so that the apparatus will perform 11151-1WO_LAMRP876WO 85 a method in accordance with disclosed embodiments.
- Machine-readable media containing instructions for controlling process operations in accordance with disclosed embodiments may be coupled to the system controller 450.
- the system controller 450 is part of a system, which may be part of the above-described examples.
- Such systems can include semiconductor processing equipment, including a processing tool or tools, chamber or chambers, a platform or platforms for processing, and/or specific processing components (a wafer pedestal, a gas flow system, etc.).
- the electronics may be referred to as the “controller,” which may control various components or subparts of the system or systems.
- the system controller 450 may be programmed to control any of the processes disclosed herein, including the delivery of processing gases, temperature settings (e.g., heating and/or cooling), pressure settings, vacuum settings, power settings, radio frequency (RF) generator settings, RF matching circuit settings, frequency settings, flow rate settings, fluid delivery settings, positional and operation settings, wafer transfers into and out of a tool and other transfer tools and/or load locks connected to or interfaced with a specific system.
- the system controller 450 may be defined as electronics having various integrated circuits, logic, memory, and/or software that receive instructions, issue instructions, control operation, enable cleaning operations, enable endpoint measurements, and the like.
- the integrated circuits may include chips in the form of firmware that store program instructions, digital signal processors (DSPs), chips defined as application specific integrated circuits (ASICs), and/or one or more microprocessors, or microcontrollers that execute program instructions (e.g., software).
- Program instructions may be instructions communicated to the system controller 450 in the form of various individual settings (or program files), defining operational parameters for carrying out a particular process on or for a semiconductor wafer or to a system.
- the operational parameters may, in some embodiments, be part of a recipe defined by process engineers to accomplish one or more processing steps during the fabrication of one or more layers, materials, metals, oxides, silicon, silicon dioxide, surfaces, circuits, and/or dies of a wafer.
- the system controller 450 in some implementations, may be a part of or coupled to a computer that is integrated with, coupled to the system, otherwise networked to the system, 11151-1WO_LAMRP876WO 86 or a combination thereof.
- the system controller 450 may be in the “cloud” or all or a part of a fab host computer system, which can allow for remote access of the wafer processing.
- the computer may enable remote access to the system to monitor current progress of fabrication operations, examine a history of past fabrication operations, examine trends or performance metrics from a plurality of fabrication operations, to change parameters of current processing, to set processing steps to follow a current processing, or to start a new process.
- a remote computer e.g. a server
- the remote computer may include a user interface that enables entry or programming of parameters and/or settings, which are then communicated to the system from the remote computer.
- the system controller 450 receives instructions in the form of data, which specify parameters for each of the processing steps to be performed during one or more operations.
- the system controller 450 may be distributed, such as by including one or more discrete controllers that are networked together and working towards a common purpose, such as the processes and controls described herein.
- An example of a distributed controller for such purposes would be one or more integrated circuits on a chamber in communication with one or more integrated circuits located remotely (such as at the platform level or as part of a remote computer) that combine to control a process on the chamber.
- example systems may include a plasma etch chamber or module, a deposition chamber or module, a spin-rinse chamber or module, a metal plating chamber or module, a clean chamber or module, a bevel edge etch chamber or module, a physical vapor deposition (PVD) chamber or module, a chemical vapor deposition (CVD) chamber or module, an ALD chamber or module, an atomic layer etch (ALE) chamber or module, an ion implantation chamber or module, a track chamber or module, an EUV lithography chamber (scanner) or module, a dry development chamber or module, and any other semiconductor processing systems that may be associated or used in the fabrication and/or manufacturing of semiconductor wafers.
- PVD physical vapor deposition
- CVD chemical vapor deposition
- ALD atomic layer etch
- ALE atomic layer etch
- ion implantation chamber or module a track chamber or module
- EUV lithography chamber (scanner) or module a dry development chamber or module, and any other
- the system controller 450 might communicate with one or more of other tool circuits or modules, other tool components, cluster tools, other tool interfaces, adjacent tools, neighboring tools, tools located throughout a factory, a main computer, another controller, or tools used in 11151-1WO_LAMRP876WO 87 material transport that bring containers of wafers to and from tool locations and/or load ports in a semiconductor manufacturing factory.
- ICP Inductively coupled plasma
- FIG. 9 schematically shows a cross-sectional view of an inductively coupled plasma apparatus 500 appropriate for implementing certain embodiments or aspects of embodiments such as vapor (dry) deposition, dry development and/or etch, an example of which is a Kiyo® reactor, produced by Lam Research Corp. of Fremont, CA. In other embodiments, other tools or tool types having the functionality to conduct the dry deposition, development and/or etch processes described herein may be used for implementation.
- the inductively coupled plasma apparatus 500 includes an overall process chamber 524 structurally defined by chamber walls 501 and a window 511.
- the chamber walls 501 may be fabricated from stainless steel or aluminum.
- the window 511 may be fabricated from quartz or other dielectric material.
- An optional internal plasma grid 550 divides the overall process chamber into an upper sub-chamber 502 and a lower sub chamber 503. In most embodiments, plasma grid 550 may be removed, thereby utilizing a chamber space made of sub chambers 502 and 503.
- a chuck 517 is positioned within the lower sub-chamber 503 near the bottom inner surface. The chuck 517 is configured to receive and hold a semiconductor wafer 519 upon which the etching and deposition processes are performed.
- the chuck 517 can be an electrostatic chuck for supporting the wafer 519 when present.
- an edge ring (not shown) surrounds chuck 517 and has an upper surface that is approximately planar with a top surface of the wafer 519, when present over chuck 517.
- the chuck 517 also includes electrostatic electrodes for chucking and dechucking the wafer 519.
- a filter and DC clamp power supply (not shown) may be provided for this purpose.
- Other control systems for lifting the wafer 519 off the chuck 517 can also be provided.
- the chuck 517 can be electrically charged using an RF power supply 523.
- the RF power supply 523 is connected to matching circuitry 521 through a connection 527.
- the matching circuitry 521 is connected to the chuck 517 through a connection 525. In this manner, the RF power supply 523 is connected to the chuck 517.
- a bias power of the electrostatic chuck may be set at about 50V or may be set at a different bias power depending on the process performed in accordance with disclosed embodiments.
- the bias power may be between about 20 V and 11151-1WO_LAMRP876WO 88 about 100 V, or between about 30 V and about 150 V.
- Elements for plasma generation include a coil 533 is positioned above window 511. In some embodiments, a coil is not used in disclosed embodiments.
- the coil 533 is fabricated from an electrically conductive material and includes at least one complete turn.
- the example of a coil 533 shown in FIG. 9 includes three turns.
- the cross sections of coil 533 are shown with symbols, and coils having an “X” extend rotationally into the page, while coils having a 3 ⁇ ⁇ H[WHQG ⁇ URWDWLRQDOO ⁇ RXW ⁇ RI ⁇ WKH ⁇ SDJH ⁇ (OHPHQWV ⁇ IRU ⁇ SODVPD ⁇ JHQHUDWLRQ ⁇ DOVR ⁇ LQFOXGH ⁇ DQ ⁇ 5) ⁇ power supply 541 configured to supply RF power to the coil 533.
- the RF power supply 541 is connected to matching circuitry 539 through a connection 545.
- the matching circuitry 539 is connected to the coil 533 through a connection 543. In this manner, the RF power supply 541 is connected to the coil 533.
- An optional Faraday shield 549a is positioned between the coil 533 and the window 511.
- the Faraday shield 549a may be maintained in a spaced apart relationship relative to the coil 533.
- the Faraday shield 549a is disposed immediately above the window 511.
- the Faraday shield 549b is between the window 511 and the chuck 517.
- the Faraday shield 549b is not maintained in a spaced apart relationship relative to the coil 533.
- the Faraday shield 549b may be directly below the window 511 without a gap.
- the coil 533, the Faraday shield 549a, and the window 511 are each configured to be substantially parallel to one another.
- Process gases may be flowed into the process chamber through one or more main gas flow inlets 560 positioned in the upper sub-chamber 502 and/or through one or more side gas flow inlets 570. Likewise, though not explicitly shown, similar gas flow inlets may be used to supply process gases to a capacitively coupled plasma processing chamber.
- a vacuum pump e.g., a one or two stage mechanical dry pump and/or turbomolecular pump 540, may be used to draw process gases out of the process chamber 524 and to maintain a pressure within the process chamber 524.
- the vacuum pump may be used to evacuate the lower sub- chamber 503 during a purge operation of ALD.
- a valve-controlled conduit may be used to fluidically connect the vacuum pump to the process chamber 524 so as to selectively control application of the vacuum environment provided by the vacuum pump. This may be done employing a closed loop-controlled flow restriction device, such as a throttle valve (not shown) or a pendulum valve (not shown), during operational plasma processing.
- a vacuum pump and valve controlled fluidic connection to the capacitively coupled plasma processing 11151-1WO_LAMRP876WO 89 chamber may also be employed.
- one or more process gases may be supplied through the gas flow inlets 560 and/or 570.
- process gas may be supplied only through the main gas flow inlet 560, or only through the side gas flow inlet 570.
- the gas flow inlets shown in the figure may be replaced by more complex gas flow inlets, one or more showerheads, for example.
- the Faraday shield 549a and/or optional grid 550 may include internal channels and holes that allow delivery of process gases to the process chamber 524. Either or both of Faraday shield 549a and optional grid 550 may serve as a showerhead for delivery of process gases.
- a liquid vaporization and delivery system may be situated upstream of the process chamber 524, such that once a liquid reactant or precursor is vaporized, the vaporized reactant or precursor is introduced into the process chamber 524 via a gas flow inlet 560 and/or 570.
- Radio frequency power is supplied from the RF power supply 541 to the coil 533 to cause an RF current to flow through the coil 533.
- the RF current flowing through the coil 533 generates an electromagnetic field about the coil 533.
- the electromagnetic field generates an inductive current within the upper sub-chamber 502.
- the physical and chemical interactions of various generated ions and radicals with the wafer 519 etch features of and selectively deposit layers on the wafer 519.
- the inductive current acts on the gas present in the upper sub- chamber 502 to generate an electron-ion plasma in the upper sub-chamber 502.
- the optional internal plasma grid 550 limits the amount of hot electrons in the lower sub-chamber 503.
- the apparatus 500 is designed and operated such that the plasma present in the lower sub-chamber 503 is an ion-ion plasma.
- Both the upper electron-ion plasma and the lower ion-ion plasma may contain positive and negative ions, though the ion-ion plasma will have a greater ratio of negative ions to positive ions.
- Apparatus 500 may be coupled to facilities (not shown) when installed in a clean room or a fabrication facility. Facilities include plumbing that provide processing gases, 11151-1WO_LAMRP876WO 90 vacuum, temperature control, and environmental particle control. These facilities are coupled to apparatus 500, when installed in the target fabrication facility. Additionally, apparatus 500 may be coupled to a transfer chamber that allows robotics to transfer semiconductor wafers into and out of apparatus 500 using typical automation.
- a system controller 530 (which may include one or more physical or logical controllers) controls some or all of the operations of a process chamber 524.
- the system controller 530 may include one or more memory devices and one or more processors.
- the apparatus 500 includes a switching system for controlling flow rates and durations when disclosed embodiments are performed.
- the apparatus 500 may have a switching time of up to about 500 ms, or up to about 750 ms. Switching time may depend on the flow chemistry, recipe chosen, reactor architecture, and other factors.
- the system controller 530 is part of a system, which may be part of the above-described examples.
- Such systems can include semiconductor processing equipment, including a processing tool or tools, chamber or chambers, a platform or platforms for processing, and/or specific processing components (a wafer pedestal, a gas flow system, etc.). These systems may be integrated with electronics for controlling their operation before, during, and after processing of a semiconductor wafer or substrate. The electronics may be integrated into the system controller 530, which may control various components or subparts of the system or systems.
- the system controller may be programmed to control any of the processes disclosed herein, including the delivery of processing gases, temperature settings (e.g., heating and/or cooling), pressure settings, vacuum settings, power settings, radio frequency (RF) generator settings, RF matching circuit settings, frequency settings, flow rate settings, fluid delivery settings, positional and operation settings, wafer transfers into and out of a tool and other transfer tools and/or load locks connected to or interfaced with a specific system.
- the system controller 530 may be defined as electronics having various integrated circuits, logic, memory, and/or software that receive instructions, issue instructions, control operation, enable cleaning operations, enable endpoint measurements, and the like.
- the integrated circuits may include chips in the form of firmware that store program instructions, digital signal processors (DSPs), chips defined as application specific integrated circuits (ASICs), and/or one or more microprocessors, or microcontrollers that execute 11151-1WO_LAMRP876WO 91 program instructions (e.g., software).
- Program instructions may be instructions communicated to the controller in the form of various individual settings (or program files), defining operational parameters for carrying out a particular process on or for a semiconductor wafer or to a system.
- the operational parameters may, in some embodiments, be part of a recipe defined by process engineers to accomplish one or more processing steps during the fabrication or removal of one or more layers, materials, metals, oxides, silicon, silicon dioxide, surfaces, circuits, and/or dies of a wafer.
- the system controller 530 in some implementations, may be a part of or coupled to a computer that is integrated with, coupled to the system, otherwise networked to the system, or a combination thereof.
- the controller may be in the “cloud” or all or a part of a fab host computer system, which can allow for remote access of the wafer processing.
- the computer may enable remote access to the system to monitor current progress of fabrication operations, examine a history of past fabrication operations, examine trends or performance metrics from a plurality of fabrication operations, to change parameters of current processing, to set processing steps to follow a current processing, or to start a new process.
- a remote computer e.g. a server
- the remote computer may include a user interface that enables entry or programming of parameters and/or settings, which are then communicated to the system from the remote computer.
- the system controller 530 receives instructions in the form of data, which specify parameters for each of the processing steps to be performed during one or more operations.
- the system controller 530 may be distributed, such as by including one or more discrete controllers that are networked together and working towards a common purpose, such as the processes and controls described herein.
- An example of a distributed controller for such purposes would be one or more integrated circuits on a chamber in communication with one or more integrated circuits located remotely (such as at the platform level or as part of a remote computer) that combine to control a process on the chamber.
- example systems may include a plasma etch chamber or module, a deposition chamber or module, a spin-rinse chamber or module, a metal plating chamber or module, a clean chamber or module, a bevel edge etch chamber or module, a physical vapor deposition (PVD) chamber or module, a chemical vapor deposition (e.g., PECVD) chamber or 11151-1WO_LAMRP876WO 92 module, an ALD chamber or module, an ALE chamber or module, an ion implantation chamber or module, a track chamber or module, an EUV lithography chamber (scanner) or module, a dry development chamber or module, and any other semiconductor processing systems that may be associated or used in the fabrication and/or manufacturing of semiconductor wafers.
- PVD physical vapor deposition
- PECVD chemical vapor deposition
- EUVL patterning may be conducted using any suitable tool, often referred to as a scanner, for example the TWINSCAN NXE: 3300B® platform supplied by ASML of Veldhoven, NL).
- the EUVL patterning tool may be a standalone device from which the substrate is moved into and out of for deposition and etching as described herein.
- the EUVL patterning tool may be a module on a larger multi-component tool.
- FIG.10 depicts a semiconductor process cluster tool architecture 600 with vacuum-integrated deposition, EUV patterning and dry development/etch modules that interface with a vacuum transfer module, suitable for implementation of the processes described herein. While the processes may be conducted without such vacuum integrated apparatus, such apparatus may be advantageous in some implementations.
- FIG. 10 depicts a semiconductor process cluster tool architecture with vacuum- integrated deposition and patterning modules that interface with a vacuum transfer module, suitable for implementation of processes described herein. The arrangement of transfer modules to “transfer” wafers among multiple storage facilities and processing modules may be referred to as a “cluster tool architecture” system.
- a vacuum transport module (VTM) 638 interfaces with four processing modules 620a-620d, which may be individually optimized to perform various fabrication processes.
- processing modules 620a-620d may be implemented to perform deposition, evaporation, ELD, dry development, etch, strip, and/or other semiconductor processes.
- module 620a may be an ALD reactor that may be operated to perform in a non- 11151-1WO_LAMRP876WO 93 plasma, thermal atomic layer depositions as described herein, such as Vector tool, available from Lam Research Corporation, Fremont, CA.
- a suitable patterning module may be the TWINSCAN NXE: 3300B® platform supplied by ASML of Veldhoven, NL). This tool architecture allows for work pieces, such as semiconductor substrates or wafers, to be transferred under vacuum so as not to react before exposure.
- this integrated architecture is just one possible embodiment of a tool for implementation of the described processes.
- the processes may also be implemented with a more conventional stand-alone EUVL scanner and a deposition reactor, such as a Lam Vector tool, either stand alone or integrated in a cluster architecture with other tools, such as etch, strip etc. (e.g., Lam Kiyo or Gamma tools), as modules, for example as described with reference to FIG. 9 but without the integrated patterning module.
- Airlock 642 may be an “outgoing” loadlock, referring to the transfer of a substrate out from the VTM 638 serving a deposition module 620a to the patterning module 640
- airlock 646 may be an “ingoing” loadlock, referring to the transfer of a substrate from the patterning module 640 back in to the VTM 638.
- the ingoing loadlock 646 may also provide an interface to the exterior of the tool for access and egress of substrates.
- Each process module has a facet that interfaces the module to VTM 638.
- deposition process module 620a has facet 636. Inside each facet, sensors, for example, sensors 1-18 as shown, are used to detect the passing of wafer 626 when moved between respective stations.
- Patterning module 640 and airlocks 642 and 646 may be similarly equipped with additional facets and sensors, not shown.
- Main VTM robot 622 transfers wafer 626 between modules, including airlocks 642 and 646.
- robot 622 has one arm, and in another embodiment, robot 622 has two arms, where each arm has an end effector 624 to pick wafers such as wafer 626 for transport.
- Front-end robot 644, in is used to transfer wafers 626 from outgoing airlock 642 into the patterning module 640, from the patterning module 640 into ingoing airlock 646.
- Front- 11151-1WO_LAMRP876WO 94 end robot 644 may also transport wafers 626 between the ingoing loadlock and the exterior of the tool for access and egress of substrates. Because ingoing airlock module 646 has the ability to match the environment between atmospheric and vacuum, the wafer 626 is able to move between the two pressure environments without being damaged. [0449] It should be noted that a EUVL tool typically operates at a higher vacuum than a deposition tool. If this is the case, it is desirable to increase the vacuum environment of the substrate during the transfer between the deposition to the EUVL tool to allow the substrate to degas prior to entry into the patterning tool.
- Outgoing airlock 642 may provide this function by holding the transferred wafers at a lower pressure, no higher than the pressure in the patterning module 640, for a period of time and exhausting any off-gassing, so that the optics of the patterning tool 640 are not contaminated by off-gassing from the substrate.
- a suitable pressure for the outgoing, off-gassing airlock is no more than 1E-8 Torr.
- a system controller 650 (which may include one or more physical or logical controllers) controls some or all of the operations of the cluster tool and/or its separate modules.
- the controller can be local to the cluster architecture, or can be located external to the cluster architecture in the manufacturing floor, or in a remote location and connected to the cluster architecture via a network.
- the system controller 650 may include one or more memory devices and one or more processors.
- the processor may include a central processing unit (CPU) or computer, analog and/or digital input/output connections, stepper motor controller boards, and other like components. Instructions for implementing appropriate control operations are executed on the processor. These instructions may be stored on the memory devices associated with the controller or they may be provided over a network.
- the system controller executes system control software.
- the system control software may include instructions for controlling the timing of application and/or magnitude of any aspect of tool or module operation.
- System control software may be configured in any suitable way. For example, various process tool component subroutines or control objects may be written to control operations of the process tool components necessary to carry out various process tool processes.
- System control software may be coded in any suitable compute readable programming language.
- system control software includes input/output control (IOC) sequencing instructions for controlling the various parameters described above.
- IOC input/output control
- each phase of a 11151-1WO_LAMRP876WO 95 semiconductor fabrication process may include one or more instructions for execution by the system controller.
- the instructions for setting process conditions for condensation, deposition, evaporation, patterning and/or etching phase may be included in a corresponding recipe phase, for example.
- an apparatus for forming a negative pattern mask is provided.
- the apparatus may include a processing chamber for patterning, deposition and etch, and a controller including instructions for forming a negative pattern mask.
- the instructions may include code for, in the processing chamber, patterning a feature in a chemically amplified (CAR) resist on a semiconductor substrate by EUV exposure to expose a surface of the substrate, dry developing the photopatterned resist, and etching the underlying layer or layer stack using the patterned resist as a mask.
- CAR chemically amplified
- the computer controlling the wafer movement can be local to the cluster architecture or can be located external to the cluster architecture in the manufacturing floor, or in a remote location and connected to the cluster architecture via a network.
- a controller as described above with respect to any of FIGS. 7, 8, or 9 may be implemented with the tool in FIG. 10.
- FIG.11 shows an example of a deposition chamber (e.g., for vapor-based deposition, such as for an imaging layer and/or an under layer).
- the apparatus 700 includes a processing chamber 702 having a lid 708 and a wafer transfer passage 704, which is sized to allow a substrate 722 to be passed therethrough and to be placed on a wafer support 724.
- the wafer transfer passage 704 may have a gate valve 706 or similar door mechanism that may be operated to seal or unseal the wafer transfer passage.
- the processing chamber 702 may be provided substrates 722 via a wafer handling robot that is located in an adjoining transfer chamber.
- the wafer support 724 may include an ESC 726 to provide a wafer support surface for the substrate 722.
- the ESC 726 may include a base plate 734 that is bonded to a top surface of the top plate 728.
- the top plate 728 has two separate electrical systems embedded within it.
- One such system is an electrostatic clamping electrode system having one or more clamping electrodes 732 to generate an electric charge within the substrate 722 that causes the substrate 722 to be drawn against the wafer support surface of the top plate 728.
- the other system is a thermal control system to control the temperature of the 11151-1WO_LAMRP876WO 96 substrate 722 during processing conditions. In FIG.
- the thermal control system features four annular resistance heater traces 730a, 730b, 730c, and 730d positioned beneath the clamping electrodes 732.
- Each resistance heater trace 730a/b/c/d may be individually controlled to provide a variety of radial heating profiles in the top plate 728, for example, to maintain the substrate 722 so as to have a temperature uniformity of ⁇ 0.5°C in some cases.
- Other implementations may use single-zone or multi-zone heating systems having more or fewer than four zones.
- heat pumps or Peltier junctions may be used instead of resistance heating traces.
- the ESC 726 may also include a base plate 734 to provide structural support to the underside of the top plate 728 and which may also act as a heat dispersion system.
- the base plate 734 may include one or more heat exchange passages 736; and a heat exchange medium, e.g., water or inert fluorinated liquid, may be circulated through the heat exchange passages 736 during use.
- the ESC 726 may be supported by a wafer support housing 742 that is connected with, and supported by, a wafer support column 744.
- the wafer support column 744 may have a routing passage 748 other pass-throughs for routing cabling (e.g., for providing electrical power), fluid flow conduits (e.g., for conveying heat exchange medium), and other equipment to the underside of the base plate 734 and/or the top plate 728.
- the apparatus 700 of FIG. 11 also includes a wafer support z-actuator 746 that may provide movable support to the wafer support column 744.
- the wafer support z-actuator 746 may be actuated to cause the wafer support column 744, and the wafer support 724 supported thereby, to move up or down vertically, e.g., by up to several inches, within a reaction space 720 of the processing chamber 702.
- the wafer support 724 may also include one or more edge rings that may be used to control and/or fine-tune various process conditions.
- an upper edge ring 738 is provided that lies on top of, for example, lower edge rings 740a and 740b, which, in turn, are supported by the wafer support housing 742 and a third lower edge ring 740c.
- the apparatus 700 may also include a system for removing process gases from the processing chamber 702 during and after processing concludes.
- the processing 11151-1WO_LAMRP876WO 97 chamber 702 may include an annular plenum 756 that encircles the wafer support column 744.
- the annular plenum 756 may, in turn, be fluidically connected with a vacuum foreline 752 that may be connected with a vacuum pump.
- a regulator valve 754 may be provided in between the vacuum foreline 752 and the processing chamber 702 and actuated to control the flow into the vacuum foreline 752.
- a baffle 750 e.g., an annular plate or other structure that may serve to make the flow into the annular plenum 756 more evenly distributed about the circumference of the wafer support column 744, may be provided to reduce the chances of flow non-uniformities developing in reactants flowed across the substrate 722.
- the showerhead 710 is a dual-plenum showerhead 710 and includes a first plenum 712 that is provided process gas via a first inlet 716 and a second plenum 714 that is provided process gas via a second inlet 718.
- Two or more plenums can be employed to maintain separation between the precursor(s) and the counter-reactant(s) prior to release of the precursor and the counter-reactant.
- a single plenum is used to deliver the precursor(s) into the reaction space 720 of the processing chamber 702.
- Each plenum may have a corresponding set of gas distribution ports that fluidically connect the respective plenum with the reaction space 720 through the faceplate of the showerhead 710 (the faceplate being the portion of the showerhead 710 that is interposed between the lowermost plenum and the reaction space 720).
- the first inlet 716 and the second inlet 718 of the showerhead 710 may be provided processing gases via a gas supply system, which may be configured to provide one or more precursor(s) and/or counter-reactant(s), as discussed herein.
- a first valve manifold 768a may be configured to provide one or more precursor(s) to the first inlet 716, while a second valve manifold 768b may be configured to provide other precursor(s) or other reactant to the second inlet 718.
- the first valve manifold 768a for example, includes multiple valves A1–A5.
- Valve A2 may, for example, be a three-way valve that has one port fluidically connected with a first vaporizer 772a, another port fluidically connected with a bypass line 770a, and a third port fluidically connected with a port on another 3-way valve A3.
- valve A4 may be another three-way valve that has one port fluidically connected with a second vaporizer 772b, another port fluidically connected with the bypass line 770a, and a third port fluidically connected with a port on another 3-way valve A5.
- One of the other ports on valve A5 may be fluidically connected with the first inlet 716 while the remaining port on valve A5 may be fluidically connected with one of the remaining ports on the valve A3.
- the remaining port on the valve A3 may, in turn, be fluidically connected with the valve A1 which may be 11151-1WO_LAMRP876WO 98 fluidically interposed between the valve A3 and a purge gas source 774, e.g., nitrogen, argon, or other suitably inert gas (with respect to precursor(s) and/or counter-reactant(s)).
- a purge gas source 774 e.g., nitrogen, argon, or other suitably inert gas (with respect to precursor(s) and/or counter-reactant(s)).
- a purge gas source 774 e.g., nitrogen, argon, or other suitably inert gas (with respect to precursor(s) and/or counter-reactant(s)).
- a purge gas source 774 e.g., nitrogen, argon, or other suitably inert gas (with respect to precursor(s) and/or counter-reactant(s)).
- fluidically interposed may be used to refer to a component, volume, plenum, or hole that is fluidically connected with at least two other components, volumes, plenums, or holes such that fluid flowing from one of those other components, volumes, plenums, or holes to the other or another of those components, volumes, plenums, or holes would first flow through the “fluidically interposed” component before reaching that other or another of those components, volumes, plenums, or holes.
- a pump is fluidically interposed between a reservoir and an outlet, fluid that flowed from the reservoir to the outlet would first flow through the pump before reaching the outlet.
- the first valve manifold 768a may, for example, be controllable to cause vapors from one or both of the vaporizers 772a and 772b to be flowed either to the processing chamber 702 or through the first bypass line 770a and into the vacuum foreline 752, such as by controlled actuation of valves A1-A5.
- the first valve manifold 768a may also be controllable to cause a purge gas to be flowed from the purge gas source 774 and into the first inlet 716.
- valve manifold 768b may be controlled in a similar manner, e.g., by controlling valves B1–B5, to provide vapors from vaporizers 772c and 772d to the second inlet 718 or to the second bypass line 770b. It will be further appreciated that different manifold arrangements may be utilized as well, including a single unitary manifold that includes valves for controlling flow of the precursor(s), counter-reactant(s), or other reactants to the first inlet 716 and the second inlet 718.
- apparatuses 700 may feature a lesser number of vapor sources, e.g., only two vaporizers 772, in which case the valve manifold(s) 768 may be modified to have a lesser number of valves, e.g., only valves A1–A3.
- apparatuses such as apparatus 700 which may be used to provide for dry deposition of films, may be configured to maintain particular temperature profiles within the processing chamber 702.
- such apparatuses 700 may be configured to 11151-1WO_LAMRP876WO 99 maintain the substrate 722 at a lower temperature, e.g., at least 25°C to 50°C lower, than most of the equipment of the apparatus 702 that comes into direct contact with the precursor(s) and/or counter-reactant(s).
- a lower temperature e.g., at least 25°C to 50°C lower
- various heating systems may be included in the apparatus 700.
- the processing chamber 702 may have receptacles for receiving cartridge heaters 758, e.g., vertical holes for receiving cartridge heaters 758 may be bored into the four corners of the chamber 702 housing.
- the showerhead 710 may be covered with heater blankets 760, which may be used to apply heat across the exposed upper surface of the showerhead 710 to keep the showerhead temperature elevated. It may also be beneficial to heat various gas lines that are used to conduct the vaporized reactants from the vaporizers 772 to the showerhead 710. For example, resistive heater tape may be wound around such gas lines and used to heat them to an elevated temperature. Any of the gas lines and even the fate valve 706 in FIG. 11 can be actively or indirectly heated.
- the various operational systems of the apparatus 700 may be controlled by a controller 784, which may include one or more processors 786 and one or more memory devices 788 that are operatively connected with each other and that are communicatively connected with various systems and subsystems of the apparatus 700 so as to provide for control functionality for those systems.
- the controller 784 may be configured to control the valves A1–A5 and B1–B5, the various heaters 758, 760, the vaporizers 772, the regulator valve 754, the gate valve 706, the wafer support z-actuator, and so forth.
- the substrate 722 may be elevated off of most of the top plate 728 by a plurality of small mesas 776, which may be shallow bosses that protrude from the nominal upper surface of the top plate 728 by a small distance so as to provide for a backside gap 778 between the underside of the substrate 722 and the majority of the top plate 728.
- a circumferential wall feature 777 may be provided at the periphery of the top plate 728.
- the circumferential wall feature 777 may extend around the entire perimeter of the top plate 728 and be of nominally the same height as the mesas 776.
- a generally inert gas such as helium
- This gas may then flow radially outward before encountering the circumferential wall feature 777, which way then restrict such 11151-1WO_LAMRP876WO 100 radially outward flow and cause a higher-pressure region of the gas to be trapped between the substrate 722 and the top plate 728.
- the inert gas that leaks past the circumferential wall 777 may eventually flow out through a radial gap 780 between the outer edge of the substrate 722 and a portion of the upper edge ring 738.
- Such gas may serve to protect the underside of the substrate from undesirably being affected by the processing operations being performed by acting to prevent the gases released by the showerhead 710 from reaching the underside of the substrate 722.
- the gas released into the backside gap 778 region may also act to increase thermal coupling between the substrate 722 and the top plate 728, thereby allowing the top plate 728 to more effectively heat or cool the substrate 722.
- the gas that is within the backside gap 778 region may also be at a higher density than gas in the remainder of the chamber, and may thus provide more effective thermal coupling between the substrate 722 and the top plate 728.
- the controller 784 may be configured, e.g., via execution of computer-executable instructions, to cause the apparatus 700 to perform various operations consistent with the disclosure provided above.
- the substrate 722 may, as noted above, be transferred to one or more subsequent processing chambers or tool for additional operations (e.g., any described herein). Further deposition apparatuses are described in International Patent Application No.
Landscapes
- Engineering & Computer Science (AREA)
- Physics & Mathematics (AREA)
- General Physics & Mathematics (AREA)
- Chemical & Material Sciences (AREA)
- Computer Hardware Design (AREA)
- Power Engineering (AREA)
- Microelectronics & Electronic Packaging (AREA)
- Manufacturing & Machinery (AREA)
- Condensed Matter Physics & Semiconductors (AREA)
- Chemical Kinetics & Catalysis (AREA)
- Structural Engineering (AREA)
- Architecture (AREA)
- Inorganic Chemistry (AREA)
- Materials Engineering (AREA)
- Mechanical Engineering (AREA)
- Organic Chemistry (AREA)
- General Chemical & Material Sciences (AREA)
- Metallurgy (AREA)
- Plasma & Fusion (AREA)
- Organic Low-Molecular-Weight Compounds And Preparation Thereof (AREA)
- Materials For Photolithography (AREA)
- Photosensitive Polymer And Photoresist Processing (AREA)
Abstract
L'invention concerne des sous-couches de structure de formation de motifs déposées entre un substrat et une couche d'imagerie, les sous-couches ayant des liaisons activables chimiquement labiles utiles dans la lithographie par ultraviolets extrêmes. Des fractions réactives peuvent être libérées des liaisons activables de la sous-couche en présence de chaleur, de gaz oxydants et/ou de gaz inertes dans la couche d'imagerie ci-dessus.
Applications Claiming Priority (2)
Application Number | Priority Date | Filing Date | Title |
---|---|---|---|
US202263376379P | 2022-09-20 | 2022-09-20 | |
US63/376,379 | 2022-09-20 |
Publications (1)
Publication Number | Publication Date |
---|---|
WO2024064071A1 true WO2024064071A1 (fr) | 2024-03-28 |
Family
ID=90455085
Family Applications (1)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
PCT/US2023/033020 WO2024064071A1 (fr) | 2022-09-20 | 2023-09-18 | Sous-couches sensibles à la cuisson pour réduire la dose à la taille d'une résine photosensible euv |
Country Status (2)
Country | Link |
---|---|
TW (1) | TW202429203A (fr) |
WO (1) | WO2024064071A1 (fr) |
Citations (5)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US20170168398A1 (en) * | 2015-12-10 | 2017-06-15 | Taiwan Semiconductor Manufacturing Co., Ltd. | Photosensitive material and method of lithography |
US20180224744A1 (en) * | 2017-02-03 | 2018-08-09 | Samsung Sdi Co., Ltd. | Resist underlayer composition, and method of forming patterns using the composition |
US20190129307A1 (en) * | 2017-11-01 | 2019-05-02 | Samsung Sdi Co., Ltd. | Resist underlayer composition, and method of forming patterns using the composition |
US20190137870A1 (en) * | 2015-10-13 | 2019-05-09 | Inpria Corporation | Organotin oxide hydroxide patterning compositions, precursors, and patterning |
WO2021146138A1 (fr) * | 2020-01-15 | 2021-07-22 | Lam Research Corporation | Sous-couche pour adhésion de résine photosensible et réduction de dose |
-
2023
- 2023-09-18 WO PCT/US2023/033020 patent/WO2024064071A1/fr unknown
- 2023-09-19 TW TW112135651A patent/TW202429203A/zh unknown
Patent Citations (5)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US20190137870A1 (en) * | 2015-10-13 | 2019-05-09 | Inpria Corporation | Organotin oxide hydroxide patterning compositions, precursors, and patterning |
US20170168398A1 (en) * | 2015-12-10 | 2017-06-15 | Taiwan Semiconductor Manufacturing Co., Ltd. | Photosensitive material and method of lithography |
US20180224744A1 (en) * | 2017-02-03 | 2018-08-09 | Samsung Sdi Co., Ltd. | Resist underlayer composition, and method of forming patterns using the composition |
US20190129307A1 (en) * | 2017-11-01 | 2019-05-02 | Samsung Sdi Co., Ltd. | Resist underlayer composition, and method of forming patterns using the composition |
WO2021146138A1 (fr) * | 2020-01-15 | 2021-07-22 | Lam Research Corporation | Sous-couche pour adhésion de résine photosensible et réduction de dose |
Also Published As
Publication number | Publication date |
---|---|
TW202429203A (zh) | 2024-07-16 |
Similar Documents
Publication | Publication Date | Title |
---|---|---|
KR102539806B1 (ko) | 포토레지스트 부착 및 선량 감소를 위한 하부층 | |
US20230259025A1 (en) | Dry deposited photoresists with organic co-reactants | |
US20230152701A1 (en) | Structure and method to achieve positive tone dry develop by a hermetic overlayer | |
CN114730133A (zh) | 利用用于高性能euv光致抗蚀剂的高euv吸收剂的衬底表面改性 | |
US20230314946A1 (en) | Method of forming photo-sensitive hybrid films | |
CN112997291A (zh) | 硫族化物材料的保形无损伤封装 | |
KR20230148424A (ko) | 할로겐-함유 유기주석 포토레지스트 및 지방족-함유 유기주석 포토레지스트 및 이의 방법들 | |
WO2023245047A1 (fr) | Précurseurs d'étain pour le dépôt d'une photoréserve sèche euv | |
US20240192590A1 (en) | Apparatus and process for euv dry resist sensitization by gas phase infusion of a sensitizer | |
WO2024064071A1 (fr) | Sous-couches sensibles à la cuisson pour réduire la dose à la taille d'une résine photosensible euv | |
TW202401131A (zh) | 含金屬光阻的顯影後處理 | |
WO2022173632A1 (fr) | Photorésines à efficacité quantique et procédés associés | |
WO2023115023A1 (fr) | Stratégie de développement pour résines photosensibles contenant un métal à absorption élevée | |
KR20240121305A (ko) | 하이브리드 유기주석 옥사이드 포토레지스트의 현상 | |
WO2024196643A1 (fr) | Intégration de traitements de développement à sec et de gravure pour la formation de motifs euv dans une seule chambre de traitement |
Legal Events
Date | Code | Title | Description |
---|---|---|---|
121 | Ep: the epo has been informed by wipo that ep was designated in this application |
Ref document number: 23868835 Country of ref document: EP Kind code of ref document: A1 |