WO2021134940A1 - Bonding structure and fabrication method therefor - Google Patents

Bonding structure and fabrication method therefor Download PDF

Info

Publication number
WO2021134940A1
WO2021134940A1 PCT/CN2020/081525 CN2020081525W WO2021134940A1 WO 2021134940 A1 WO2021134940 A1 WO 2021134940A1 CN 2020081525 W CN2020081525 W CN 2020081525W WO 2021134940 A1 WO2021134940 A1 WO 2021134940A1
Authority
WO
WIPO (PCT)
Prior art keywords
chip
bonding
wafer
hybrid
chips
Prior art date
Application number
PCT/CN2020/081525
Other languages
French (fr)
Chinese (zh)
Inventor
刘天建
胡杏
占迪
郭万里
Original Assignee
武汉新芯集成电路制造有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 武汉新芯集成电路制造有限公司 filed Critical 武汉新芯集成电路制造有限公司
Publication of WO2021134940A1 publication Critical patent/WO2021134940A1/en

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L24/82Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected by forming build-up interconnects at chip-level, e.g. for high density interconnects [HDI]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/02Bonding areas ; Manufacturing methods related thereto
    • H01L24/07Structure, shape, material or disposition of the bonding areas after the connecting process
    • H01L24/08Structure, shape, material or disposition of the bonding areas after the connecting process of an individual bonding area
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/07Structure, shape, material or disposition of the bonding areas after the connecting process
    • H01L2224/08Structure, shape, material or disposition of the bonding areas after the connecting process of an individual bonding area
    • H01L2224/0805Shape
    • H01L2224/08057Shape in side view
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/07Structure, shape, material or disposition of the bonding areas after the connecting process
    • H01L2224/08Structure, shape, material or disposition of the bonding areas after the connecting process of an individual bonding area
    • H01L2224/081Disposition
    • H01L2224/0812Disposition the bonding area connecting directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding
    • H01L2224/08135Disposition the bonding area connecting directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding the bonding area connecting between different semiconductor or solid-state bodies, i.e. chip-to-chip
    • H01L2224/08145Disposition the bonding area connecting directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding the bonding area connecting between different semiconductor or solid-state bodies, i.e. chip-to-chip the bodies being stacked

Definitions

  • the invention relates to the field of semiconductor devices and their manufacturing, in particular to a bonding structure and a manufacturing method thereof.
  • wafer-level packaging is a monolithic wafer. Round packaging, even if there is a failed die, it must be packaged, resulting in a waste of resources.
  • chip-to-wafer packaging has become another research hotspot, and there are still many problems to be solved on how to achieve industrialization in the process.
  • the purpose of the present invention is to provide a bonding structure and a manufacturing method thereof, which realize chip-to-wafer packaging and have better implementability.
  • the present invention has the following technical solutions:
  • a method for manufacturing a bonding structure includes:
  • a bottom wafer is provided, the bottom wafer has dies arranged in an array, a hybrid bonding structure is formed on the surface of the dies, and the hybrid bonding structure includes a dielectric bonding layer and a conductive bonding pad;
  • the back connection structure respectively connects the interconnection lines in the chip and the conductive bonds of the chip Bonding pads, and when N ⁇ 2, a hybrid bonding structure is also formed on the front side of the first to N-1th chips, and the conductive bonding pads on the front side are connected to the interconnection lines in the chip;
  • the n-th chip is sequentially bonded on each die of the bottom wafer to realize the interconnection between the chip and the die, and n is from 1 to N and is a natural number.
  • a pad is further formed on the front side of the Nth chip, and the pad is connected to an interconnection line in the Nth chip.
  • each chip passes the wafer level test.
  • the material of the conductive bonding pad is copper
  • the material of the dielectric bonding layer includes silicon oxide, NDC, and/or a combination thereof.
  • the method for forming the chip includes:
  • the carrier as a supporting wafer to form a back connection structure and a hybrid bonding structure on the back of the wafer to be bonded;
  • the wafer to be bonded is cut to obtain chips for bonding.
  • a bonding structure including:
  • a bottom wafer the bottom wafer has dies arranged in an array, a hybrid bonding structure is formed on the surface of the dies, and the hybrid bonding structure includes a dielectric bonding layer and a conductive bonding pad;
  • the first to Nth chips, N ⁇ 1 and a natural number, a back wiring structure and a hybrid bonding structure are formed on the back of the chip, and the back wiring structure is connected to the interconnection lines and conductive bonding in the chip, respectively
  • the hybrid bonding structure is also formed on the front side of the first to N-1th chips, and the conductive bonding pad on the front side is connected to the interconnection line in the chip, and each of the chips uses hybrid bonding
  • the structure is sequentially stacked and bonded on the die.
  • a pad is further formed on the front side of the Nth chip, and the pad is connected to an interconnection line in the Nth chip.
  • each chip passes the wafer level test.
  • the material of the conductive bonding pad is copper
  • the material of the dielectric bonding layer includes silicon oxide, NDC, and/or a combination thereof.
  • a chip is bonded on a wafer, a hybrid bonding structure is formed on the die of the wafer, and a back wiring structure and a hybrid bonding structure are also preformed on the back of the chip
  • the electrical lead-out of the interconnection structure in the chip is realized through the back wiring structure, and the hybrid interconnection structure on the chip can be bonded to the hybrid bonding structure on the die to realize the chip-to-wafer interconnection.
  • Fig. 1 shows a schematic flow chart of a method for manufacturing a bonding structure according to an embodiment of the present invention
  • Figures 2-4 show schematic structural diagrams in the process of forming a bonding structure according to the manufacturing method of the first embodiment of the present invention
  • 9-15 show schematic diagrams of the chip structure in the process of forming the chip in the bonding structure according to the manufacturing method of the embodiment of the present invention.
  • the present application provides a method for manufacturing a bonding structure, as shown in FIG. 1, including:
  • a bottom wafer is provided, the bottom wafer has dies arranged in an array, a hybrid bonding structure is formed on the surface of the dies, and the hybrid bonding structure includes a dielectric bonding layer and a conductive bonding pad;
  • N chips are provided, N ⁇ 1, a back wiring structure and a hybrid bonding structure are preformed on the back of the chip, and the back wiring structure is connected to the interconnection lines and conductive bonding pads in the chip, and when When N ⁇ 2, a hybrid bonding structure is also formed on the front surface of the first to N-1th chips, and the conductive bonding pads are connected to the interconnection lines in the chip;
  • the n-th chip is sequentially bonded on each die to realize the interconnection between the chip and the die, and n is from 1 to N.
  • the chip is bonded on the wafer, and the hybrid bonding structure is formed on the die of the wafer.
  • the back wiring structure and the hybrid bonding structure are also preformed on the back side of the chip. In this way, it is realized by the back wiring structure.
  • the electrical lead-out of the interconnection structure in the chip can further be bonded to the hybrid bonding structure on the die through the hybrid interconnection structure on the chip to realize the chip-to-wafer interconnection.
  • a bottom wafer 10 is provided.
  • the bottom wafer 10 has die 10' arranged in an array, and a hybrid bonding structure is formed on the surface of the die 10', and the hybrid bonding structure includes a dielectric bonding layer 120 and the conductive bonding pad 122 are shown in FIG. 2.
  • the wafer 100 may have completed device processing and formed a hybrid bonding structure on a substrate 100, and the substrate 100 may have formed a device structure and an interconnection line (not shown) electrically connecting the device structure.
  • the structure is covered by a dielectric layer 110.
  • the dielectric layer 110 may include multiple layers, such as an interlayer dielectric layer and an intermetal dielectric layer, which may be silicon oxide.
  • the interconnection lines are formed in the dielectric material.
  • the device structure may be a MOS device, For sensor devices, storage devices, and/or other passive devices, the interconnection lines may include multiple layers, and the interconnection lines of different layers may be interconnected through contact plugs, vias, etc., and the interconnection lines may be made of metal materials, for example, Tungsten, aluminum, copper, etc.
  • the dielectric layer 110 is shown, and the device structure and interconnection lines are not shown. This is just to simplify the drawings. It is understandable that in different designs and applications In this, the required device structure and interconnection lines of the required structure can be formed as required.
  • the hybrid bonding structure is continued to be formed on the surface of the wafer.
  • the surface is the surface on which the device structure is formed. It can also be called the front side of the wafer.
  • the hybrid bonding structure means that the bonding interface is made of different materials.
  • the hybrid bonding structure includes a dielectric bonding layer 120 and a conductive bonding pad 122.
  • the conductive bonding pad 122 is formed in the dielectric bonding layer 120 and is connected to the die
  • the interconnection lines in the die are electrically connected.
  • the conductive bonding pad 122 is formed on the top-level interconnection line in the die, and is electrically connected to the top-level interconnection line, so as to realize the electrical lead-out of the interconnection line in the die.
  • the dielectric bonding layer 120 is a dielectric material for bonding, which can be a single-layer or stacked-layer structure, for example, silicon oxide (bonding oxide), silicon nitride, NDC (Nitrogen doped Silicon Carbide), or their The combination.
  • the conductive bonding pad 122 is a bonding conductive material, for example, a bonding metal material, and the bonding metal material may be, for example, copper.
  • the conductive bonding pad 122 may have a suitable structure. In order to simplify the drawings, only this part is shown in the figure. In an example, it may include a bottom connection hole and a via hole (not shown). .
  • step S102 the first chip 20 is provided, as shown in FIG. 3.
  • the first chip 20 is a structure obtained by dicing the die after the wafer completes device processing, and the chip may be a pass die after the wafer-level test is completed.
  • the first chip 20 may include a substrate 200, a device structure formed on the substrate 200, and an interconnection line 212 that electrically connects the device structure.
  • the device structure is covered by a dielectric layer 210.
  • the dielectric layer 210 may include multiple layers, for example, Including an interlayer dielectric layer and an intermetal dielectric layer, which may be silicon oxide, the interconnection lines are formed in the dielectric material, the device structure may have the same or different device structure as that in the bottom wafer die, and the interconnection lines may include multiple layers
  • the interconnection lines of different layers can be interconnected through contact plugs, vias, etc., and the interconnection lines can be made of metal materials, such as tungsten, aluminum, copper, and the like.
  • the dielectric layer 210 and a layer of interconnection lines 212 are shown. This is only to simplify the drawings. It is understood that in different designs and applications, it can be used as required. Form the required device structure and interconnect lines of the required structure.
  • a back wiring structure 216 and hybrid bonding structures 220 and 222 have been pre-formed on the back surface of the chip 20.
  • the back surface of the chip 20 is the back surface of the substrate 200, that is, the surface opposite to the surface of the substrate 200 for processing the device structure.
  • the back wiring structure 216 penetrates the substrate 200 to the interconnection line 212, and an isolation layer 217 is formed between the back wiring structure 216 and the substrate 200 to electrically isolate the device structure.
  • the back wiring structure 216 may be a through silicon via structure, the isolation layer 217 may be formed on the sidewall of the through silicon via structure, and the interconnection line 212 may be the first metal wiring layer of the device structure.
  • the hybrid bonding structure on the same bottom wafer, the hybrid bonding structure on the first chip also includes the dielectric bonding layer 220 and the conductive bonding pad 222, which can be the same as or different from the hybrid bonding structure on the bottom wafer Material and structure, the conductive bonding pad 222 of the chip is formed on the back wiring structure 216 and is electrically connected to it.
  • the electrical lead-out structure and the bonding structure of the interconnection line 212 are pre-formed on the back of the chip, wherein the electrical lead-out structure includes a back wiring structure 216 and conductive bonding pad 222.
  • the bonding structure includes a dielectric bonding layer 220 and a conductive bonding pad 222. In this way, there is no need to fill and grind a large number of dielectric layers after chip bonding in the subsequent bonding process, which reduces manufacturing Cost, and at the same time, it can better ensure the consistency between the chips, and has better implementability.
  • a pad 214 may be pre-formed on the front surface of the chip. As shown in FIG. 3, the pad 214 is electrically connected to the first chip.
  • the interconnection line 212 in the chip 20 may be the top metal connection layer of the device structure of the chip 20. In this way, after the bonding is completed, the pad 214 on the chip 20 can be used as the input/output port of the entire bonding structure, which reduces the manufacturing cost and has better implementability.
  • step S103 the hybrid bonding structure is used to bond the first chip 20 on each die 10' of the bottom wafer 10 to realize the interconnection between the first chip 20 and the die 10', as shown in FIG. 4.
  • Hybrid bonding structures 120 and 122 are formed on the bottom wafer 10, and hybrid bonding structures 220 and 222 are also pre-formed on the back surface of the first chip 20. These hybrid bonding structures can be cleaned before bonding. Then, the conductive bonding pad 222 of the first chip 20 is aligned with the conductive bonding pad 122 on the die, and the bonding force between the hybrid bonding structure is used to realize the bonding connection between the first chip 20 and the die 10' By bonding each first chip 20 to each die 10' on the bottom wafer 10 one by one, the chip-to-wafer bonding can be realized.
  • the bonding structure can be processed, which has good performance.
  • the embodiment of the present application also provides a bonding structure formed by the above method, as shown in FIG. 4, including:
  • the bottom wafer 10 has dies 10' arranged in an array, and hybrid bonding structures 120, 122 are formed on the surface of the dies 10'.
  • the hybrid bonding structure includes a dielectric bonding layer 120 and Conductive bonding pad 122;
  • a back wiring structure 216 and hybrid bonding structures 220, 222 are formed on the back of the first chip 20, and the back wiring structure 216 is respectively connected to the interconnection lines 212 and the conductive bonding pads in the chip 20 222.
  • the first chip is stacked and bonded on the die 10' using a hybrid bonding structure.
  • a bottom wafer 10 is provided.
  • the bottom wafer 10 has die 10' arranged in an array, and a hybrid bonding structure is formed on the surface of the die 10', and the hybrid bonding structure includes a dielectric bonding layer 120 and the conductive bonding pad 122 are shown in FIG. 5.
  • step S101 in the first embodiment Same as step S101 in the first embodiment.
  • step S202 the first to Nth chips 20 are provided, where N ⁇ 2 and a natural number, as shown in FIG. 6 and FIG. 3.
  • multiple layers of chips are to be sequentially bonded to the bare chip 10' of the bottom wafer.
  • the chips of each layer are marked as the first to Nth chips, and the first chip is bonded to the bare chip.
  • the second chip is bonded to the first chip, and so on, to realize the bonding of the multilayer chip to the wafer.
  • these chips 20 are structures obtained by cutting the die after the wafer is processed to complete the device.
  • the chips may be intact pass dies after the wafer-level testing is completed.
  • the chip 20 may include a substrate 200 and a device structure already formed on the substrate 200 and an interconnection line 212 that electrically connects the device structure.
  • Different chips may have the same or different device structures.
  • only the dielectric layer 210 and a layer of interconnection lines 212 are shown here. This is only to simplify the drawings. It should be understood that in different designs and applications, the required ones can be formed as required. Device structure and interconnection lines of the required structure.
  • the first to N-1th chips are intermediate bonding layers.
  • a back wiring structure 216 and hybrid bonding are formed on the back of the chip 20 in advance.
  • the hybrid bonding structure also includes a dielectric bonding layer 220 and a conductive bonding pad 222.
  • the back wiring structure 216 connects the interconnection lines 212 in the chip 20 and the conductive bonding pad 222 of the chip 20, respectively.
  • the front side of the chip 20 is also formed with hybrid bonding structures 230 and 232, and the conductive bonding pad 232 on the front side is connected to the interconnection line 212 in the chip.
  • the Nth chip as the top chip, referring to FIG. 3, only the back wiring structure 216 and the hybrid bonding structures 220 and 222 are pre-formed on the back of the chip 20 on the top layer.
  • the back wiring structure 216 and the hybrid bonding structures 220 and 222 are pre-formed on the back of the first to Nth chips. These hybrid bonding structures may have the same or different materials and structures.
  • the electrical lead-out structure includes a back wiring structure 216 and a conductive bonding pad. 222.
  • the bonding structure includes a dielectric bonding layer 220 and a conductive bonding pad 222. In this way, there is no need to fill and grind a large number of dielectric layers after chip bonding in the subsequent bonding process, which reduces manufacturing costs and can better To ensure the consistency between chips, it has better implementability.
  • Hybrid bonding structures 230 and 232 are also formed on the front surface of the first to N-1th chips.
  • the conductive bonding pads 232 in the hybrid bonding structure are electrically connected to the interconnection lines 212 in the chip, and the interconnections connected thereto are interconnected.
  • the wire 212 may be a top metal layer, and the pre-formed hybrid bonding structure 230, 232 is used for further bonding with the chip thereon.
  • a pad 214 may be pre-formed on the front surface of the Nth chip, and the pad 214 is electrically connected to the interconnection line 212 in the Nth chip 20.
  • the interconnection line may be the top metal connection of the device structure of the chip 20. Line layer.
  • the substrate in the foregoing embodiments may be a semiconductor substrate, for example, a Si substrate, a Ge substrate, a SiGe substrate, SOI (Silicon On Insulator) or GOI (Germanium On Insulator) Wait.
  • a semiconductor substrate for example, a Si substrate, a Ge substrate, a SiGe substrate, SOI (Silicon On Insulator) or GOI (Germanium On Insulator) Wait.
  • step S203 using the hybrid bonding structure, the n-th chip is sequentially bonded on each die 10' of the bottom wafer 10 to realize the interconnection between the chip and the die, n is from 1 to N and is a natural number, refer to the figure 7 and Figure 8.
  • the hybrid bonding structure is preformed on the back surface of the first to Nth chips, and the hybrid bonding structure is also preformed on the front surface of the first to N-1th chips. In this way, the nth chip is sequentially bonded on the bare chip. That is, multi-layer chip-to-wafer bonding can be realized.
  • the first chip 20-1 is bonded on each die 10' of the bottom wafer 10 to realize the first chip 20-1 and the die. 10' interconnection.
  • each first chip 20-1 is bonded to each die 10' on the bottom wafer 10 one by one, that is, the bonding of the first chip 20-1 to the wafer can be realized.
  • each second chip 20-2 is bonded to the first chip 20-1 on each bare chip one by one, that is, the first chip 20-1 to the second chip 20- can be realized. 2’s bonding.
  • the front surface of the second chip can be pre-formed with pads, and the bonding structure can be processed after bonding, which has good feasibility.
  • the embodiment of the present application also provides a bonding structure formed by the above method, as shown in FIG. 8, including:
  • the bottom wafer 10 has dies 10' arranged in an array, and hybrid bonding structures 120, 122 are formed on the surface of the dies 10'.
  • the hybrid bonding structure includes a dielectric bonding layer 120 and Conductive bonding pad 122;
  • the first to Nth chips, and the backside connection structure 216 and hybrid bonding structures 220 and 222 are formed on the back surface of the first to Nth chips 20, and the back connection structure 216 is respectively connected to the interconnection lines 212 in the chip 20
  • conductive bonding pads 222, hybrid bonding structures 230, 232 are formed on the front surface of the first to N-1th chips, and the conductive bonding pads 232 on the front side are connected to the interconnection lines 212 in the chip, and the first to first to first N chips are sequentially stacked and bonded on the die using a hybrid bonding structure.
  • the present application also provides an embodiment of the method for forming the chip in the above embodiment, which will be described in detail below with reference to the accompanying drawings.
  • step S1201 as shown in FIG. 9, a wafer 20' is provided, in which the chip 20 for bonding described above is formed.
  • the wafer on which the bonding chip 20 is formed is referred to as the wafer to be bonded 20' in this application.
  • the front surface of the substrate 200 of the wafer to be bonded 20' has completed the device processing process.
  • the device structure (not shown in the figure), the interconnection line 212 and the pad The processing of the device structure (not shown in the figure), the interconnection line 212, and the processing of the front hybrid bonding structure 230, 232, the device structure and the interconnection have been completed for the wafer with the intermediate bonding chip.
  • the line 212 is formed in the dielectric layer 210, and the hybrid bonding structure 230, 232 or the liner may be covered by the dielectric layer 230 to protect it from damage in subsequent processes.
  • the carrier 300 is bonded on the front surface of the wafer 20' to be bonded, as shown in FIG. 10.
  • the carrier 300 only plays a supporting role, and may be a substrate that has not undergone a device processing process, for example, may be a silicon pad.
  • step S1202 using the carrier 300 as a supporting wafer, a back wiring structure 216 and hybrid bonding structures 220 and 222 are formed on the back of the wafer to be bonded 20', as shown in FIG. 14.
  • a dielectric layer 218 may be formed on the back surface of the substrate 200 of the wafer 20' to be bonded first.
  • the dielectric layer may be a hard mask layer.
  • the dielectric layer may be, for example, silicon nitride, Silicon oxide or their stacked layers, and a mask layer 219 is formed on the dielectric layer 218.
  • the mask layer 219 can be a photosensitive resist, and an etching pattern can be formed in the mask layer 219 by using photolithography technology. Refer to the figure 11 shown.
  • etching is performed from the backside of the substrate 200 of the wafer to be bonded 20', for example, reactive ion etching, to form a chip penetrating into the chip of the wafer to be bonded 20'
  • the through-silicon via of the interconnection line 212 is shown in FIG. 12, and an isolation layer 217 is formed on the sidewall of the through-silicon via.
  • the isolation layer is a dielectric material, such as silicon oxide or a stack of silicon oxide and silicon nitride. The layer plays an insulating role.
  • a metal material is deposited, for example, a metal copper is deposited, and planarized, so that a back connection structure 216 is filled in the through silicon via, as shown in FIG. 13.
  • the dielectric bonding layer may be a single layer or a laminated structure, for example, silicon oxide or a stack of silicon oxide and NDC, and a conductive bond is formed in the dielectric bonding layer 220 The bonding pads 222, thereby forming bonding structures 220, 222, as shown in FIG. 14.
  • step S1203 the slide 300 is removed, as shown in FIG. 15.
  • the wafer to be bonded 20' can be transferred to another attachment, such as an adhesive film, and the pad on the front of the chip 20 is exposed, or the conductive bonding pad 232 The surface is exposed to form a hybrid bonding interface, as shown in Figure 15.
  • step S1204 the wafer to be bonded is cut to obtain chips for bonding.
  • the wafer 20' to be bonded can be cut by laser or mechanical cutting to obtain the chip 20 for bonding.

Landscapes

  • Engineering & Computer Science (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Abstract

Provided in the present invention are a bonding structure and a fabrication method therefor. A chip is bonded onto a wafer, a mixed bonding structure is formed on a die of the wafer, and a back connection line structure and a mixed bonding structure are also pre-formed on the back surface of the chip. Thus, electrical lead-out of an interconnecting structure in the chip is implemented by means of the back connection line structure, and the chip can further be bonded to the mixed bonding structure on the die by means of a mixed interconnecting structure on the chip, thus achieving the interconnection of the chip and the wafer. In the present solution, there is no need to carry out the processes of filling and grinding a large number of dielectric layers after chip bonding, which, while reducing fabrication costs can better ensure consistency between chips, and has better scalability.

Description

一种键合结构及其制造方法Bonding structure and manufacturing method thereof
本申请要求于2019年12月30日提交中国专利局、申请号为201911403367.7、发明名称为“一种键合结构及其制造方法”的中国专利申请的优先权,其全部内容通过引用结合在本申请中。This application claims the priority of a Chinese patent application filed with the Chinese Patent Office on December 30, 2019, the application number is 201911403367.7, and the invention title is "a bonding structure and its manufacturing method", the entire content of which is incorporated herein by reference Applying.
技术领域Technical field
本发明涉及半导体器件及其制造领域,特别涉及一种键合结构及其制造方法。The invention relates to the field of semiconductor devices and their manufacturing, in particular to a bonding structure and a manufacturing method thereof.
背景技术Background technique
随着半导体技术进入后摩尔时代,为满足高集成度和高性能的需求,芯片结构向着三维方向发展,而晶圆级封装技术得到了广泛的应用,然而,晶圆级的封装是整片晶圆的封装,即使存在失效的裸片也要进行封装,造成资源的浪费。目前芯片到晶圆的封装成为另一个研究热点,而如何在工艺上实现产业化,仍存在很多问题需要解决。As semiconductor technology enters the post-Moore era, in order to meet the needs of high integration and high performance, the chip structure is developing in a three-dimensional direction, and wafer-level packaging technology has been widely used. However, wafer-level packaging is a monolithic wafer. Round packaging, even if there is a failed die, it must be packaged, resulting in a waste of resources. At present, chip-to-wafer packaging has become another research hotspot, and there are still many problems to be solved on how to achieve industrialization in the process.
发明内容Summary of the invention
有鉴于此,本发明的目的在于提供一种键合结构及其制造方法,实现芯片到晶圆的封装,且具有更好的可实施性。In view of this, the purpose of the present invention is to provide a bonding structure and a manufacturing method thereof, which realize chip-to-wafer packaging and have better implementability.
为实现上述目的,本发明有如下技术方案:In order to achieve the above objectives, the present invention has the following technical solutions:
一种键合结构的制造方法,包括:A method for manufacturing a bonding structure includes:
提供底晶圆,所述底晶圆具有阵列排布的裸片,所述裸片表面上形成有混合键合结构,混合键合结构包括介质键合层以及导电键合垫;A bottom wafer is provided, the bottom wafer has dies arranged in an array, a hybrid bonding structure is formed on the surface of the dies, and the hybrid bonding structure includes a dielectric bonding layer and a conductive bonding pad;
提供第1至第N芯片,N≥1,从所述芯片的背面预先形成有背连线结构和混合键合结构,所述背连线结构分别连接芯片中的互连线以及芯片的导电键合垫,且当N≥2时,第1至第N-1芯片的正面还形成有混合键合结构且正面的导电键合垫连接至芯片中的互连线;Provide 1st to Nth chips, N≥1, pre-formed with a back connection structure and a hybrid bonding structure from the back of the chip, the back connection structure respectively connects the interconnection lines in the chip and the conductive bonds of the chip Bonding pads, and when N≥2, a hybrid bonding structure is also formed on the front side of the first to N-1th chips, and the conductive bonding pads on the front side are connected to the interconnection lines in the chip;
利用混合键合结构,在底晶圆的各裸片上依次键合第n芯片,以实现芯片 与裸片的互连,n从1至N且为自然数。Using the hybrid bonding structure, the n-th chip is sequentially bonded on each die of the bottom wafer to realize the interconnection between the chip and the die, and n is from 1 to N and is a natural number.
可选的,第N芯片的正面还形成有衬垫,所述衬垫连接至第N芯片中的互连线。Optionally, a pad is further formed on the front side of the Nth chip, and the pad is connected to an interconnection line in the Nth chip.
可选的,各芯片通过晶圆级测试。Optionally, each chip passes the wafer level test.
可选的,所述导电键合垫的材料为铜,所述介质键合层的材料包括氧化硅、NDC和/或他们的组合。Optionally, the material of the conductive bonding pad is copper, and the material of the dielectric bonding layer includes silicon oxide, NDC, and/or a combination thereof.
可选的,芯片的形成方法包括:Optionally, the method for forming the chip includes:
提供形成有芯片的待键合晶圆;Provide wafers to be bonded with chips formed;
在待键合晶圆的正面键合载片;Bond the carrier on the front side of the wafer to be bonded;
以所述载片为支撑晶圆,在所述待键合晶圆的背面形成背连线结构以及混合键合结构;Using the carrier as a supporting wafer to form a back connection structure and a hybrid bonding structure on the back of the wafer to be bonded;
去除载片;Remove the slide
进行待键合晶圆的切割,以获得键合用的芯片。The wafer to be bonded is cut to obtain chips for bonding.
一种键合结构,包括:A bonding structure including:
底晶圆,所述底晶圆具有阵列排布的裸片,所述裸片表面上形成有混合键合结构,混合键合结构包括介质键合层以及导电键合垫;A bottom wafer, the bottom wafer has dies arranged in an array, a hybrid bonding structure is formed on the surface of the dies, and the hybrid bonding structure includes a dielectric bonding layer and a conductive bonding pad;
第1至第N芯片,N≥1且为自然数,所述芯片的背面上形成有背连线结构和混合键合结构,所述背连线结构分别连接芯片中的互连线以及导电键合垫,且当N≥2时,第1至第N-1芯片的正面还形成有混合键合结构且正面的导电键合垫连接至芯片中的互连线,各所述芯片利用混合键合结构依次堆叠键合在裸片之上。The first to Nth chips, N≥1 and a natural number, a back wiring structure and a hybrid bonding structure are formed on the back of the chip, and the back wiring structure is connected to the interconnection lines and conductive bonding in the chip, respectively When N≥2, the hybrid bonding structure is also formed on the front side of the first to N-1th chips, and the conductive bonding pad on the front side is connected to the interconnection line in the chip, and each of the chips uses hybrid bonding The structure is sequentially stacked and bonded on the die.
可选的,第N芯片的正面还形成有衬垫,所述衬垫连接至第N芯片中的互连线。Optionally, a pad is further formed on the front side of the Nth chip, and the pad is connected to an interconnection line in the Nth chip.
可选的,各芯片通过晶圆级测试。Optionally, each chip passes the wafer level test.
可选的,所述导电键合垫的材料为铜,所述介质键合层的材料包括氧化硅、NDC和/或他们的组合。Optionally, the material of the conductive bonding pad is copper, and the material of the dielectric bonding layer includes silicon oxide, NDC, and/or a combination thereof.
本发明实施例提供的键合结构及其制造方法,在晶圆上键合芯片,晶圆的 裸片上形成有混合键合结构,芯片背面上也预先形成有背连线结构和混合键合结构,这样,通过背连线结构实现芯片中互连结构的电引出,进一步可以通过芯片上的混合互连结构键合至裸片上的混合键合结构,实现芯片至晶圆的互连。在该方案中,无需在芯片键合之后进行填充和研磨大量介质层的工艺,降低制造成本,同时能够更好地保证芯片间的一致性,具有更好的可实施性。In the bonding structure and the manufacturing method thereof provided by the embodiments of the present invention, a chip is bonded on a wafer, a hybrid bonding structure is formed on the die of the wafer, and a back wiring structure and a hybrid bonding structure are also preformed on the back of the chip In this way, the electrical lead-out of the interconnection structure in the chip is realized through the back wiring structure, and the hybrid interconnection structure on the chip can be bonded to the hybrid bonding structure on the die to realize the chip-to-wafer interconnection. In this solution, there is no need to fill and grind a large number of dielectric layers after the chip bonding, which reduces the manufacturing cost, and at the same time can better ensure the consistency between the chips, and has better implementability.
附图说明Description of the drawings
为了更清楚地说明本发明实施例中的技术方案,下面将对实施例描述中所需要使用的附图作简单地介绍,显而易见地,下面描述中的附图是本发明的一些实施例,对于本领域普通技术人员来讲,在不付出创造性劳动的前提下,还可以根据这些附图获得其它的附图。In order to more clearly describe the technical solutions in the embodiments of the present invention, the following will briefly introduce the drawings used in the description of the embodiments. Obviously, the drawings in the following description are some embodiments of the present invention. A person of ordinary skill in the art can obtain other drawings based on these drawings without creative work.
图1示出了根据本发明实施例的键合结构的制造方法的流程示意图;Fig. 1 shows a schematic flow chart of a method for manufacturing a bonding structure according to an embodiment of the present invention;
图2-4示出了根据本发明实施例一的制造方法形成键合结构过程中的结构示意图;Figures 2-4 show schematic structural diagrams in the process of forming a bonding structure according to the manufacturing method of the first embodiment of the present invention;
图5-8示出了根据本发明实施例二的制造方法形成键合结构过程中的结构示意图;5-8 show schematic structural diagrams in the process of forming a bonding structure according to the manufacturing method of the second embodiment of the present invention;
图9-15示出了根据本发明实施例的制造方法形成键合结构中的芯片过程中芯片结构的示意图。9-15 show schematic diagrams of the chip structure in the process of forming the chip in the bonding structure according to the manufacturing method of the embodiment of the present invention.
具体实施方式Detailed ways
为使本发明的上述目的、特征和优点能够更加明显易懂,下面结合附图对本发明的具体实施方式做详细的说明。In order to make the above-mentioned objects, features and advantages of the present invention more obvious and understandable, the specific embodiments of the present invention will be described in detail below with reference to the accompanying drawings.
在下面的描述中阐述了很多具体细节以便于充分理解本发明,但是本发明还可以采用其它不同于在此描述的其它方式来实施,本领域技术人员可以在不违背本发明内涵的情况下做类似推广,因此本发明不受下面公开的具体实施例的限制。In the following description, many specific details are set forth in order to fully understand the present invention, but the present invention can also be implemented in other ways different from those described here, and those skilled in the art can do so without departing from the connotation of the present invention. Similar promotion, therefore, the present invention is not limited by the specific embodiments disclosed below.
其次,本发明结合示意图进行详细描述,在详述本发明实施例时,为便于说明,表示器件结构的剖面图会不依一般比例作局部放大,而且所述示意图只是示例,其在此不应限制本发明保护的范围。此外,在实际制作中应包含长度、 宽度及深度的三维空间尺寸。Secondly, the present invention will be described in detail in conjunction with schematic diagrams. In detailing the embodiments of the present invention, for ease of description, the cross-sectional view showing the device structure will not be partially enlarged according to the general scale, and the schematic diagram is only an example, which should not be limited here. The scope of protection of the present invention. In addition, the three-dimensional dimensions of length, width and depth should be included in the actual production.
正如背景技术中的描述,目前芯片到晶圆的封装成为另一个研究热点,而如何在工艺上实现产业化,仍存在很多问题需要解决。为此,本申请提供一种键合结构的制造方法,参考图1所示,包括:As described in the background art, the current chip-to-wafer packaging has become another research hotspot, and how to achieve industrialization in the process still has many problems to be solved. To this end, the present application provides a method for manufacturing a bonding structure, as shown in FIG. 1, including:
提供底晶圆,所述底晶圆具有阵列排布的裸片,所述裸片表面上形成有混合键合结构,混合键合结构包括介质键合层以及导电键合垫;A bottom wafer is provided, the bottom wafer has dies arranged in an array, a hybrid bonding structure is formed on the surface of the dies, and the hybrid bonding structure includes a dielectric bonding layer and a conductive bonding pad;
提供N个芯片,N≥1,所述芯片的背面上预先形成有背连线结构和混合键合结构,所述背连线结构分别连接芯片中的互连线以及导电键合垫,且当N≥2时,第1至第N-1芯片的正面还形成有混合键合结构且导电键合垫连接至芯片中的互连线;N chips are provided, N≥1, a back wiring structure and a hybrid bonding structure are preformed on the back of the chip, and the back wiring structure is connected to the interconnection lines and conductive bonding pads in the chip, and when When N≥2, a hybrid bonding structure is also formed on the front surface of the first to N-1th chips, and the conductive bonding pads are connected to the interconnection lines in the chip;
利用混合键合结构,在各裸片上依次键合第n芯片,以实现芯片与裸片的互连,n从1至N。Using the hybrid bonding structure, the n-th chip is sequentially bonded on each die to realize the interconnection between the chip and the die, and n is from 1 to N.
在本申请中,在晶圆上键合芯片,晶圆的裸片上形成有混合键合结构,芯片背面上也预先形成有背连线结构和混合键合结构,这样,通过背连线结构实现芯片中互连结构的电引出,进一步可以通过芯片上的混合互连结构键合至裸片上的混合键合结构,实现芯片至晶圆的互连。在该方案中,无需在芯片键合之后进行填充和研磨大量介质层的工艺,降低制造成本,同时能够更好地保证芯片间的一致性,具有更好的可实施性。In this application, the chip is bonded on the wafer, and the hybrid bonding structure is formed on the die of the wafer. The back wiring structure and the hybrid bonding structure are also preformed on the back side of the chip. In this way, it is realized by the back wiring structure. The electrical lead-out of the interconnection structure in the chip can further be bonded to the hybrid bonding structure on the die through the hybrid interconnection structure on the chip to realize the chip-to-wafer interconnection. In this solution, there is no need to fill and grind a large number of dielectric layers after the chip bonding, which reduces the manufacturing cost, and at the same time can better ensure the consistency between the chips, and has better implementability.
为了更好地理解本申请的技术方案和技术效果,以下将结合附图对不同的实施例进行描述。In order to better understand the technical solutions and technical effects of the present application, different embodiments will be described below with reference to the accompanying drawings.
实施例一Example one
在本实施例中,仅在晶圆上键合一层芯片,该方法制造成本低,同时能够更好地保证芯片间的一致性,具有更好的可实施性。In this embodiment, only one layer of chips is bonded on the wafer. This method has low manufacturing cost, and at the same time can better ensure the consistency between the chips, and has better implementability.
在步骤S101,提供底晶圆10,所述底晶圆10具有阵列排布的裸片10’,所述裸片10’表面上形成有混合键合结构,混合键合结构包括介质键合层120以及导电键合垫122,参考图2所示。In step S101, a bottom wafer 10 is provided. The bottom wafer 10 has die 10' arranged in an array, and a hybrid bonding structure is formed on the surface of the die 10', and the hybrid bonding structure includes a dielectric bonding layer 120 and the conductive bonding pad 122 are shown in FIG. 2.
该晶圆100可以已在衬底100上完成器件的加工并形成有混合键合结构, 衬底100上可以已经形成有器件结构以及电连接器件结构的互连线(图未示出),器件结构由介质层110覆盖,该介质层110可以包括多层,例如可以包括层间介质层和金属间介质层,可以为氧化硅,互连线形成于介质材料中,器件结构可以为MOS器件、传感器件、存储器件和/或其他无源器件,互连线可以包括多层,不同层的互连线可以通过接触塞、过孔等实现互连,互连线可以为金属材料,例如可以为钨、铝、铜等。在本申请实施例的图示中,仅图示出介质层110,而并未示出器件结构以及互连线,此处仅是为了简化附图,可以理解的是,在不同的设计和应用中,可以根据需要形成所需的器件结构和所需结构的互连线。The wafer 100 may have completed device processing and formed a hybrid bonding structure on a substrate 100, and the substrate 100 may have formed a device structure and an interconnection line (not shown) electrically connecting the device structure. The structure is covered by a dielectric layer 110. The dielectric layer 110 may include multiple layers, such as an interlayer dielectric layer and an intermetal dielectric layer, which may be silicon oxide. The interconnection lines are formed in the dielectric material. The device structure may be a MOS device, For sensor devices, storage devices, and/or other passive devices, the interconnection lines may include multiple layers, and the interconnection lines of different layers may be interconnected through contact plugs, vias, etc., and the interconnection lines may be made of metal materials, for example, Tungsten, aluminum, copper, etc. In the illustration of the embodiment of the present application, only the dielectric layer 110 is shown, and the device structure and interconnection lines are not shown. This is just to simplify the drawings. It is understandable that in different designs and applications In this, the required device structure and interconnection lines of the required structure can be formed as required.
在完成器件加工之后,在晶圆的表面上继续形成混合键合结构,该表面为形成有器件结构的表面,也可以称作晶圆的正面,混合键合结构是指键合界面由不同材质的键合材料(bonding)形成,本申请中,该混合键合结构包括介质键合层120以及导电键合垫122,导电键合垫122形成于介质键合层120之中,且与裸片中的互连线电连接,通常地,导电键合垫122形成于裸片中的顶层互连线上,与顶层互连线电连接,从而实现裸片中互连线的电引出。其中,介质键合层120为键合用介质材料,可以为单层或叠层结构,例如可以为氧化硅(bonding oxide)、氮化硅、NDC(Nitrogen doped Silicon Carbide,掺氮碳化硅)或者他们的组合。导电键合垫122为键合导电材料,例如可以为键合金属材料,键合金属材料例如可以为铜。导电键合垫122可以具有合适的结构,为了简化附图,在图示中仅示意出该部分,在一个示例中,可以包括底部的连线孔和其上的过孔(图未示出)。After the device processing is completed, the hybrid bonding structure is continued to be formed on the surface of the wafer. The surface is the surface on which the device structure is formed. It can also be called the front side of the wafer. The hybrid bonding structure means that the bonding interface is made of different materials. In the present application, the hybrid bonding structure includes a dielectric bonding layer 120 and a conductive bonding pad 122. The conductive bonding pad 122 is formed in the dielectric bonding layer 120 and is connected to the die The interconnection lines in the die are electrically connected. Generally, the conductive bonding pad 122 is formed on the top-level interconnection line in the die, and is electrically connected to the top-level interconnection line, so as to realize the electrical lead-out of the interconnection line in the die. Wherein, the dielectric bonding layer 120 is a dielectric material for bonding, which can be a single-layer or stacked-layer structure, for example, silicon oxide (bonding oxide), silicon nitride, NDC (Nitrogen doped Silicon Carbide), or their The combination. The conductive bonding pad 122 is a bonding conductive material, for example, a bonding metal material, and the bonding metal material may be, for example, copper. The conductive bonding pad 122 may have a suitable structure. In order to simplify the drawings, only this part is shown in the figure. In an example, it may include a bottom connection hole and a via hole (not shown). .
在步骤S102,提供第1芯片20,参考图3所示。In step S102, the first chip 20 is provided, as shown in FIG. 3.
在本申请实施例中,该第1芯片20为晶圆完成器件加工之后,将裸片切割后而获得的结构,该芯片可以为完成晶圆级测试后的完好裸片(pass die)。该第1芯片20可以包括衬底200和衬底200上已形成的器件结构以及电连接器件结构的互连线212,器件结构由介质层210覆盖,该介质层210可以包括多层,例如可以包括层间介质层和金属间介质层,可以为氧化硅,互连线形成 于介质材料中,器件结构可以具有与底晶圆裸片中相同或不同的器件结构,互连线可以包括多层,不同层的互连线可以通过接触塞、过孔等实现互连,互连线可以为金属材料,例如可以为钨、铝、铜等。在本申请实施例的图示中,仅图示出介质层210和一层互连线212,此处仅是为了简化附图,可以理解的是,在不同的设计和应用中,可以根据需要形成所需的器件结构和所需结构的互连线。In the embodiment of the present application, the first chip 20 is a structure obtained by dicing the die after the wafer completes device processing, and the chip may be a pass die after the wafer-level test is completed. The first chip 20 may include a substrate 200, a device structure formed on the substrate 200, and an interconnection line 212 that electrically connects the device structure. The device structure is covered by a dielectric layer 210. The dielectric layer 210 may include multiple layers, for example, Including an interlayer dielectric layer and an intermetal dielectric layer, which may be silicon oxide, the interconnection lines are formed in the dielectric material, the device structure may have the same or different device structure as that in the bottom wafer die, and the interconnection lines may include multiple layers The interconnection lines of different layers can be interconnected through contact plugs, vias, etc., and the interconnection lines can be made of metal materials, such as tungsten, aluminum, copper, and the like. In the illustration of the embodiment of the present application, only the dielectric layer 210 and a layer of interconnection lines 212 are shown. This is only to simplify the drawings. It is understood that in different designs and applications, it can be used as required. Form the required device structure and interconnect lines of the required structure.
此外,在芯片20的背面已经预先形成有背连线结构216和混合键合结构220、222,芯片20的背面即其中衬底200的背面,即与衬底200加工器件结构表面的相对面。该背连线结构216贯穿衬底200直至互连线212,背连线结构216与衬底200之间形成有隔离层217,以与器件结构电绝缘。背连线结构216可以为硅通孔结构,隔离层217可以形成于硅通孔结构的侧壁上,该互连线212可以为器件结构的第一层金属连线层。In addition, a back wiring structure 216 and hybrid bonding structures 220 and 222 have been pre-formed on the back surface of the chip 20. The back surface of the chip 20 is the back surface of the substrate 200, that is, the surface opposite to the surface of the substrate 200 for processing the device structure. The back wiring structure 216 penetrates the substrate 200 to the interconnection line 212, and an isolation layer 217 is formed between the back wiring structure 216 and the substrate 200 to electrically isolate the device structure. The back wiring structure 216 may be a through silicon via structure, the isolation layer 217 may be formed on the sidewall of the through silicon via structure, and the interconnection line 212 may be the first metal wiring layer of the device structure.
同底晶圆上的混合键合结构,第1芯片上的混合键合结构也包括介质键合层220以及导电键合垫222,可以具有与底晶圆上的混合键合结构相同或不同的材料和结构,该芯片的导电键合垫222形成于背连线结构216之上并与其电连接。这样,在芯片20与底晶圆上的裸片键合之前,在芯片的背面就预先形成有其中的互连线212的电引出结构以及键合结构,其中,电引出结构包括背连线结构216和导电键合垫222,键合结构包括介质键合层220以及导电键合垫222,这样,在后续键合过程中无需在芯片键合之后进行填充和研磨大量介质层的工艺,降低制造成本,同时能够更好地保证芯片间的一致性,具有更好的可实施性。The hybrid bonding structure on the same bottom wafer, the hybrid bonding structure on the first chip also includes the dielectric bonding layer 220 and the conductive bonding pad 222, which can be the same as or different from the hybrid bonding structure on the bottom wafer Material and structure, the conductive bonding pad 222 of the chip is formed on the back wiring structure 216 and is electrically connected to it. In this way, before the chip 20 is bonded to the die on the bottom wafer, the electrical lead-out structure and the bonding structure of the interconnection line 212 are pre-formed on the back of the chip, wherein the electrical lead-out structure includes a back wiring structure 216 and conductive bonding pad 222. The bonding structure includes a dielectric bonding layer 220 and a conductive bonding pad 222. In this way, there is no need to fill and grind a large number of dielectric layers after chip bonding in the subsequent bonding process, which reduces manufacturing Cost, and at the same time, it can better ensure the consistency between the chips, and has better implementability.
在该实施例中,仅在底晶圆10上键合一层第1芯片20,还可以在芯片的正面预先形成衬垫214,参考图3所示,该衬垫214电连接至第1芯片20中的互连线212,该互连线212可以为芯片20的器件结构的顶层金属连线层。这样,在键合完成之后,就可以通过芯片20上的衬垫214作为整个键合结构的输入/输出端口,降低制造成本,具有更好的可实施性。In this embodiment, only one layer of the first chip 20 is bonded on the bottom wafer 10, and a pad 214 may be pre-formed on the front surface of the chip. As shown in FIG. 3, the pad 214 is electrically connected to the first chip. The interconnection line 212 in the chip 20 may be the top metal connection layer of the device structure of the chip 20. In this way, after the bonding is completed, the pad 214 on the chip 20 can be used as the input/output port of the entire bonding structure, which reduces the manufacturing cost and has better implementability.
在步骤S103,利用混合键合结构,在底晶圆10的各裸片10’上键合第1 芯片20,以实现第1芯片20与裸片10’的互连,参考图4所示。In step S103, the hybrid bonding structure is used to bond the first chip 20 on each die 10' of the bottom wafer 10 to realize the interconnection between the first chip 20 and the die 10', as shown in FIG. 4.
在底晶圆10上形成有混合键合结构120、122,同时第1芯片20背面上也预先形成有混合键合结构220、222,在键合之前,可以对这些混合键合结构进行清洁。而后,将第1芯片20的导电键合垫222与裸片上的导电键合垫122对准,利用混合键合结构之间的键合力,实现第1芯片20与裸片10’的键合连接,逐一将各第1芯片20键合至底晶圆10上的各裸片10’,即可以实现芯片至晶圆的键合。 Hybrid bonding structures 120 and 122 are formed on the bottom wafer 10, and hybrid bonding structures 220 and 222 are also pre-formed on the back surface of the first chip 20. These hybrid bonding structures can be cleaned before bonding. Then, the conductive bonding pad 222 of the first chip 20 is aligned with the conductive bonding pad 122 on the die, and the bonding force between the hybrid bonding structure is used to realize the bonding connection between the first chip 20 and the die 10' By bonding each first chip 20 to each die 10' on the bottom wafer 10 one by one, the chip-to-wafer bonding can be realized.
在该实施例中,仅键合一层芯片即第1芯片20至裸片上,而第1芯片20上预先形成有衬垫,在键合之后即可以完成键合结构的加工,具有好的可实施性。In this embodiment, only one layer of chips, that is, the first chip 20, is bonded to the bare chip, and the first chip 20 is pre-formed with pads. After bonding, the bonding structure can be processed, which has good performance. Implementation.
本申请实施例还提供了由上述方法形成的键合结构,参考图4所示,包括:The embodiment of the present application also provides a bonding structure formed by the above method, as shown in FIG. 4, including:
底晶圆10,所述底晶圆10具有阵列排布的裸片10’,所述裸片10’表面上形成有混合键合结构120、122,混合键合结构包括介质键合层120以及导电键合垫122;The bottom wafer 10 has dies 10' arranged in an array, and hybrid bonding structures 120, 122 are formed on the surface of the dies 10'. The hybrid bonding structure includes a dielectric bonding layer 120 and Conductive bonding pad 122;
第1芯片20,第1芯片20的背面上形成有背连线结构216和混合键合结构220、222,所述背连线结构216分别连接芯片20中的互连线212以及导电键合垫222,第1芯片利用混合键合结构堆叠键合于裸片10’之上。On the first chip 20, a back wiring structure 216 and hybrid bonding structures 220, 222 are formed on the back of the first chip 20, and the back wiring structure 216 is respectively connected to the interconnection lines 212 and the conductive bonding pads in the chip 20 222. The first chip is stacked and bonded on the die 10' using a hybrid bonding structure.
实施例二Example two
在本实施例中,在晶圆上键合多层芯片,该方法制造成本低且灵活性高,同时能够更好地保证芯片间的一致性,具有更好的可实施性。以下将重点描述与实施例一不同的部分,相同部分将不再赘述。In this embodiment, multiple layers of chips are bonded on a wafer. This method has low manufacturing cost and high flexibility, and at the same time, it can better ensure the consistency between the chips, and has better implementability. The following will focus on the different parts from the first embodiment, and the same parts will not be repeated.
在步骤S201,提供底晶圆10,所述底晶圆10具有阵列排布的裸片10’,所述裸片10’表面上形成有混合键合结构,混合键合结构包括介质键合层120以及导电键合垫122,参考图5所示。In step S201, a bottom wafer 10 is provided. The bottom wafer 10 has die 10' arranged in an array, and a hybrid bonding structure is formed on the surface of the die 10', and the hybrid bonding structure includes a dielectric bonding layer 120 and the conductive bonding pad 122 are shown in FIG. 5.
同实施例一中的步骤S101。Same as step S101 in the first embodiment.
在步骤S202,提供第1至第N芯片20,N≥2且为自然数,参考图6以及图3所示。In step S202, the first to Nth chips 20 are provided, where N≧2 and a natural number, as shown in FIG. 6 and FIG. 3.
在该实施例中,在底晶圆的裸片10’上要依次键合多层的芯片,为了便于描述,将各层芯片分别记做第1至第N芯片,第1芯片键合至裸片上,第2芯片键合至第1芯片上,依次类推,实现多层芯片与晶圆的键合。In this embodiment, multiple layers of chips are to be sequentially bonded to the bare chip 10' of the bottom wafer. For ease of description, the chips of each layer are marked as the first to Nth chips, and the first chip is bonded to the bare chip. On the chip, the second chip is bonded to the first chip, and so on, to realize the bonding of the multilayer chip to the wafer.
同上述实施例一,这些芯片20为晶圆完成器件加工之后,将裸片切割后而获得的结构,该芯片可以为完成晶圆级测试后的完好裸片(pass die)。芯片20可以包括衬底200和衬底200上已形成的器件结构以及电连接器件结构的互连线212,不同的芯片中可以具有相同或不同的器件结构。同上述实施例一,仅图示出介质层210和一层互连线212,此处仅是为了简化附图,可以理解的是,在不同的设计和应用中,可以根据需要形成所需的器件结构和所需结构的互连线。As in the first embodiment above, these chips 20 are structures obtained by cutting the die after the wafer is processed to complete the device. The chips may be intact pass dies after the wafer-level testing is completed. The chip 20 may include a substrate 200 and a device structure already formed on the substrate 200 and an interconnection line 212 that electrically connects the device structure. Different chips may have the same or different device structures. As in the first embodiment above, only the dielectric layer 210 and a layer of interconnection lines 212 are shown here. This is only to simplify the drawings. It should be understood that in different designs and applications, the required ones can be formed as required. Device structure and interconnection lines of the required structure.
其中,第1至第N-1芯片为中间键合层,参考图6所示,对于这些键合后位于中间层的芯片20,芯片20的背面预先形成有背连线结构216和混合键合结构220、222,该混合键合结构也包括介质键合层220以及导电键合垫222,背连线结构216分别连接芯片20中的互连线212以及芯片20的导电键合垫222,同时,芯片20的正面还形成有混合键合结构230、232且正面的导电键合垫232连接至芯片中的互连线212。对于第N芯片为顶层芯片,参考图3所示,仅在该顶层的芯片20背面预先形成有背连线结构216和混合键合结构220、222。Among them, the first to N-1th chips are intermediate bonding layers. As shown in FIG. 6, for the chips 20 located in the intermediate layer after bonding, a back wiring structure 216 and hybrid bonding are formed on the back of the chip 20 in advance. Structures 220 and 222. The hybrid bonding structure also includes a dielectric bonding layer 220 and a conductive bonding pad 222. The back wiring structure 216 connects the interconnection lines 212 in the chip 20 and the conductive bonding pad 222 of the chip 20, respectively. , The front side of the chip 20 is also formed with hybrid bonding structures 230 and 232, and the conductive bonding pad 232 on the front side is connected to the interconnection line 212 in the chip. For the Nth chip as the top chip, referring to FIG. 3, only the back wiring structure 216 and the hybrid bonding structures 220 and 222 are pre-formed on the back of the chip 20 on the top layer.
第1至第N芯片背面都预先形成的背连线结构216和混合键合结构220、222,这些混合键合结构可以具有相同或不同的材料和结构,同上述实施例一,各芯片20与底晶圆上的裸片键合之前,在芯片的背面就预先形成有其中的互连线212的电引出结构以及键合结构,其中,电引出结构包括背连线结构216和导电键合垫222,键合结构包括介质键合层220以及导电键合垫222,这样,在后续键合过程中无需在芯片键合之后进行填充和研磨大量介质层的工艺,降低制造成本,同时能够更好地保证芯片间的一致性,具有更好的可实施性。The back wiring structure 216 and the hybrid bonding structures 220 and 222 are pre-formed on the back of the first to Nth chips. These hybrid bonding structures may have the same or different materials and structures. As in the first embodiment, each chip 20 and Before the die on the bottom wafer is bonded, the electrical lead-out structure and the bonding structure of the interconnection line 212 are pre-formed on the back of the chip. The electrical lead-out structure includes a back wiring structure 216 and a conductive bonding pad. 222. The bonding structure includes a dielectric bonding layer 220 and a conductive bonding pad 222. In this way, there is no need to fill and grind a large number of dielectric layers after chip bonding in the subsequent bonding process, which reduces manufacturing costs and can better To ensure the consistency between chips, it has better implementability.
在第1至第N-1芯片的正面还形成有混合键合结构230、232,该混合键合结构中的导电键合垫232与芯片中的互连线212电连接,与其连接的互连线 212可以为顶层的金属层,该预先形成的混合键合结构230、232用于进一步与其上的芯片键合。 Hybrid bonding structures 230 and 232 are also formed on the front surface of the first to N-1th chips. The conductive bonding pads 232 in the hybrid bonding structure are electrically connected to the interconnection lines 212 in the chip, and the interconnections connected thereto are interconnected. The wire 212 may be a top metal layer, and the pre-formed hybrid bonding structure 230, 232 is used for further bonding with the chip thereon.
进一步地,还可以在第N芯片的正面预先形成衬垫214,该衬垫214电连接至第N芯片20中的互连线212,该互连线可以为芯片20的器件结构的顶层金属连线层。Further, a pad 214 may be pre-formed on the front surface of the Nth chip, and the pad 214 is electrically connected to the interconnection line 212 in the Nth chip 20. The interconnection line may be the top metal connection of the device structure of the chip 20. Line layer.
上述各实施例中的衬底可以为半导体衬底,例如可以为Si衬底、Ge衬底、SiGe衬底、SOI(绝缘体上硅,Silicon On Insulator)或GOI(绝缘体上锗,Germanium On Insulator)等。The substrate in the foregoing embodiments may be a semiconductor substrate, for example, a Si substrate, a Ge substrate, a SiGe substrate, SOI (Silicon On Insulator) or GOI (Germanium On Insulator) Wait.
在步骤S203,利用混合键合结构,在底晶圆10的各裸片10’上依次键合第n芯片,以实现芯片与裸片的互连,n从1至N且为自然数,参考图7和图8所示。In step S203, using the hybrid bonding structure, the n-th chip is sequentially bonded on each die 10' of the bottom wafer 10 to realize the interconnection between the chip and the die, n is from 1 to N and is a natural number, refer to the figure 7 and Figure 8.
第1至第N芯片的背面都预先形成有混合键合结构,同时,第1至第N-1芯片的正面也预先形成有混合键合结构,这样,在裸片上依次键合第n芯片,即可以实现多层芯片至晶圆的键合。The hybrid bonding structure is preformed on the back surface of the first to Nth chips, and the hybrid bonding structure is also preformed on the front surface of the first to N-1th chips. In this way, the nth chip is sequentially bonded on the bare chip. That is, multi-layer chip-to-wafer bonding can be realized.
为了便于理解,以2层芯片键合至晶圆为例进行说明。For ease of understanding, the description is given by taking a two-layer chip bonding to a wafer as an example.
具体的,参考图7所示,首先,利用混合键合结构,先在底晶圆10的各裸片10’上键合第1芯片20-1,以实现第1芯片20-1与裸片10’的互连。Specifically, referring to FIG. 7, first, using the hybrid bonding structure, the first chip 20-1 is bonded on each die 10' of the bottom wafer 10 to realize the first chip 20-1 and the die. 10' interconnection.
在键合之前,可以对这些混合键合结构进行清洁。而后,将第1芯片20-1的导电键合垫222与裸片上的导电键合垫122对准,利用混合键合结构之间的键合力,实现第1芯片20-1与裸片10’的键合连接,逐一将各第1芯片20-1键合至底晶圆10上的各裸片10’,即可以实现第1芯片20-1至晶圆的键合。Before bonding, these hybrid bonding structures can be cleaned. Then, the conductive bonding pad 222 of the first chip 20-1 is aligned with the conductive bonding pad 122 on the die, and the bonding force between the hybrid bonding structure is used to realize the first chip 20-1 and the die 10' In the bonding connection, each first chip 20-1 is bonded to each die 10' on the bottom wafer 10 one by one, that is, the bonding of the first chip 20-1 to the wafer can be realized.
参考图8所示,接着,继续利用混合键合结构,在各第1芯片20-1上键合第2芯片20-2,以实现第2芯片20-2与第1芯片20-1的互连。Referring to FIG. 8, next, continue to use the hybrid bonding structure to bond the second chip 20-2 on each of the first chips 20-1 to realize the mutual interaction between the second chip 20-2 and the first chip 20-1. even.
同样地,在键合之前,可以对这些混合键合结构进行清洁。而后,将第2芯片20-2的导电键合垫222与第1芯片20-1正面的导电键合垫232对准,利用混合键合结构之间的键合力,实现第2芯片20-2与第1芯片20-1的键合连接,逐一将各第2芯片20-2键合至各裸片上的第1芯片20-1,即可以实现第 1芯片20-1至第2芯片20-2的键合。Similarly, before bonding, these hybrid bonding structures can be cleaned. Then, align the conductive bonding pads 222 of the second chip 20-2 with the conductive bonding pads 232 on the front of the first chip 20-1, and use the bonding force between the hybrid bonding structures to realize the second chip 20-2 With the bonding connection with the first chip 20-1, each second chip 20-2 is bonded to the first chip 20-1 on each bare chip one by one, that is, the first chip 20-1 to the second chip 20- can be realized. 2’s bonding.
若仍需继续键合第3芯片或更多的芯片,则可以采用相同的步骤进行。If it is still necessary to continue to bond the third chip or more chips, the same steps can be used.
若第2芯片即为顶层芯片,则第2芯片的正面可以预先形成有衬垫,在键合之后即可以完成键合结构的加工,具有好的可实施性。If the second chip is the top chip, the front surface of the second chip can be pre-formed with pads, and the bonding structure can be processed after bonding, which has good feasibility.
本申请实施例还提供了由上述方法形成的键合结构,参考图8所示,包括:The embodiment of the present application also provides a bonding structure formed by the above method, as shown in FIG. 8, including:
底晶圆10,所述底晶圆10具有阵列排布的裸片10’,所述裸片10’表面上形成有混合键合结构120、122,混合键合结构包括介质键合层120以及导电键合垫122;The bottom wafer 10 has dies 10' arranged in an array, and hybrid bonding structures 120, 122 are formed on the surface of the dies 10'. The hybrid bonding structure includes a dielectric bonding layer 120 and Conductive bonding pad 122;
第1至第N芯片,第1至第N芯片20的背面上形成有背连线结构216和混合键合结构220、222,所述背连线结构216分别连接芯片20中的互连线212以及导电键合垫222,第1至第N-1芯片的正面上形成有混合键合结构230、232且正面的导电键合垫232连接至芯片中的互连线212,各第1至第N芯片利用混合键合结构依次堆叠键合在裸片之上。The first to Nth chips, and the backside connection structure 216 and hybrid bonding structures 220 and 222 are formed on the back surface of the first to Nth chips 20, and the back connection structure 216 is respectively connected to the interconnection lines 212 in the chip 20 And conductive bonding pads 222, hybrid bonding structures 230, 232 are formed on the front surface of the first to N-1th chips, and the conductive bonding pads 232 on the front side are connected to the interconnection lines 212 in the chip, and the first to first to first N chips are sequentially stacked and bonded on the die using a hybrid bonding structure.
此外,本申请还提供了上述实施例中芯片的形成方法的实施例,以下将结合附图进行详细说明。In addition, the present application also provides an embodiment of the method for forming the chip in the above embodiment, which will be described in detail below with reference to the accompanying drawings.
首先,在步骤S1201,参考图9所示,提供晶圆20’,晶圆20’中形成有上述键合用的芯片20。First, in step S1201, as shown in FIG. 9, a wafer 20' is provided, in which the chip 20 for bonding described above is formed.
为了便于描述,本申请中将形成有上述键合用芯片20的晶圆记做待键合晶圆20’。该待键合晶圆20’的衬底200的正面已完成器件加工工艺,对于具有顶层待键合芯片的晶圆,已完成器件结构(图未示出)、互连线212以及衬垫(图未示出)的加工,对于具有中间键合芯片的晶圆,已完成器件结构(图未示出)、互连线212以及正面混合键合结构230、232的加工,器件结构以及互连线212形成于介质层210中,混合键合结构230、232或衬垫可以由介质层230覆盖,以起到后续工艺中保护其不被损伤的作用。For ease of description, the wafer on which the bonding chip 20 is formed is referred to as the wafer to be bonded 20' in this application. The front surface of the substrate 200 of the wafer to be bonded 20' has completed the device processing process. For the wafer with the top layer of the chip to be bonded, the device structure (not shown in the figure), the interconnection line 212 and the pad ( The processing of the device structure (not shown in the figure), the interconnection line 212, and the processing of the front hybrid bonding structure 230, 232, the device structure and the interconnection have been completed for the wafer with the intermediate bonding chip. The line 212 is formed in the dielectric layer 210, and the hybrid bonding structure 230, 232 or the liner may be covered by the dielectric layer 230 to protect it from damage in subsequent processes.
而后,在待键合晶圆20’的正面键合载片300,参考图10所示。Then, the carrier 300 is bonded on the front surface of the wafer 20' to be bonded, as shown in FIG. 10.
该载片300仅起到承载作用,可以是没有进行过器件加工工艺的衬底,例如可以为硅衬垫。The carrier 300 only plays a supporting role, and may be a substrate that has not undergone a device processing process, for example, may be a silicon pad.
而后,在步骤S1202,以所述载片300为支撑晶圆,在所述待键合晶圆20’的背面形成背连线结构216以及混合键合结构220、222,参考图14所示。Then, in step S1202, using the carrier 300 as a supporting wafer, a back wiring structure 216 and hybrid bonding structures 220 and 222 are formed on the back of the wafer to be bonded 20', as shown in FIG. 14.
具体的,可以先在待键合晶圆20’的衬底200背面上形成介质层218,该介质层可以为硬掩膜层,参考图10所示,该介质层例如可以为氮化硅、氧化硅或他们的叠层,并在介质层218上形成掩膜层219,该掩膜层219可以为光敏刻蚀剂,可以利用光刻技术在掩膜层219中形成刻蚀图案,参考图11所示。Specifically, a dielectric layer 218 may be formed on the back surface of the substrate 200 of the wafer 20' to be bonded first. The dielectric layer may be a hard mask layer. As shown in FIG. 10, the dielectric layer may be, for example, silicon nitride, Silicon oxide or their stacked layers, and a mask layer 219 is formed on the dielectric layer 218. The mask layer 219 can be a photosensitive resist, and an etching pattern can be formed in the mask layer 219 by using photolithography technology. Refer to the figure 11 shown.
而后,在掩膜层219的掩蔽下,从待键合晶圆20’的衬底200背面进行刻蚀,例如可以为反应离子刻蚀,形成贯穿至待键合晶圆20’的芯片中的互连线212的硅通孔,参考图12所示,并在硅通孔的侧壁上形成隔离层217,该隔离层为介质材料,例如可以为氧化硅或氧化硅与氮化硅的叠层,起到绝缘作用。而后,进行金属材料的沉积,例如金属铜的沉积,并进行平坦化,从而,在硅通孔中填充形成背连线结构216,参考图13所示。Then, under the mask of the mask layer 219, etching is performed from the backside of the substrate 200 of the wafer to be bonded 20', for example, reactive ion etching, to form a chip penetrating into the chip of the wafer to be bonded 20' The through-silicon via of the interconnection line 212 is shown in FIG. 12, and an isolation layer 217 is formed on the sidewall of the through-silicon via. The isolation layer is a dielectric material, such as silicon oxide or a stack of silicon oxide and silicon nitride. The layer plays an insulating role. Then, a metal material is deposited, for example, a metal copper is deposited, and planarized, so that a back connection structure 216 is filled in the through silicon via, as shown in FIG. 13.
接着,继续沉积介质键合层220,该介质键合层可以为单层或叠层结构,例如可以为氧化硅或氧化硅与NDC的叠层,并在该介质键合层220中形成导电键合垫222,从而,形成键合结构220、222,参考图14所示。Next, continue to deposit the dielectric bonding layer 220. The dielectric bonding layer may be a single layer or a laminated structure, for example, silicon oxide or a stack of silicon oxide and NDC, and a conductive bond is formed in the dielectric bonding layer 220 The bonding pads 222, thereby forming bonding structures 220, 222, as shown in FIG. 14.
在步骤S1203,去除载片300,参考图15所示。In step S1203, the slide 300 is removed, as shown in FIG. 15.
去除载片300之后,可以将待键合晶圆20’转移至另一附着物上,例如可以为粘性胶膜上,并将芯片20正面的衬垫暴露出来,或者将导电键合垫232的表面暴露出来,以形成混合键合界面,参见图15所示。After the carrier 300 is removed, the wafer to be bonded 20' can be transferred to another attachment, such as an adhesive film, and the pad on the front of the chip 20 is exposed, or the conductive bonding pad 232 The surface is exposed to form a hybrid bonding interface, as shown in Figure 15.
在步骤S1204,进行待键合晶圆的切割,以获得键合用的芯片。In step S1204, the wafer to be bonded is cut to obtain chips for bonding.
可以采用激光或者机械切割的方式,将待键合晶圆20’进行切割,以获得键合用的芯片20。The wafer 20' to be bonded can be cut by laser or mechanical cutting to obtain the chip 20 for bonding.
本说明书中的各个实施例均采用递进的方式描述,各个实施例之间相同相似的部分互相参见即可,每个实施例重点说明的都是与其它实施例的不同之处。尤其,对于结构实施例而言,由于其基本相似于方法实施例,所以描述得比较简单,相关之处参见方法实施例的部分说明即可。The various embodiments in this specification are described in a progressive manner, and the same or similar parts between the various embodiments can be referred to each other, and each embodiment focuses on the differences from other embodiments. In particular, as for the structural embodiment, since it is basically similar to the method embodiment, the description is relatively simple, and the relevant part can refer to the part of the description of the method embodiment.
以上所述仅是本发明的优选实施方式,虽然本发明已以较佳实施例披露如 上,然而并非用以限定本发明。任何熟悉本领域的技术人员,在不脱离本发明技术方案范围情况下,都可利用上述揭示的方法和技术内容对本发明技术方案做出许多可能的变动和修饰,或修改为等同变化的等效实施例。因此,凡是未脱离本发明技术方案的内容,依据本发明的技术实质对以上实施例所做的任何的简单修改、等同变化及修饰,均仍属于本发明技术方案保护的范围内。The above are only the preferred embodiments of the present invention. Although the present invention has been disclosed as above in preferred embodiments, it is not intended to limit the present invention. Anyone familiar with the art, without departing from the scope of the technical solution of the present invention, can use the methods and technical content disclosed above to make many possible changes and modifications to the technical solution of the present invention, or modify it into equivalent changes. Examples. Therefore, all simple modifications, equivalent changes and modifications made to the above embodiments according to the technical essence of the present invention without departing from the content of the technical solution of the present invention still fall within the protection scope of the technical solution of the present invention.

Claims (9)

  1. 一种键合结构的制造方法,其特征在于,包括:A method for manufacturing a bonding structure, which is characterized in that it comprises:
    提供底晶圆,所述底晶圆具有阵列排布的裸片,所述裸片表面上形成有混合键合结构,混合键合结构包括介质键合层以及导电键合垫;A bottom wafer is provided, the bottom wafer has dies arranged in an array, a hybrid bonding structure is formed on the surface of the dies, and the hybrid bonding structure includes a dielectric bonding layer and a conductive bonding pad;
    提供第1至第N芯片,N≥1,从所述芯片的背面预先形成有背连线结构和混合键合结构,所述背连线结构分别连接芯片中的互连线以及芯片的导电键合垫,且当N≥2时,第1至第N-1芯片的正面还形成有混合键合结构且正面的导电键合垫连接至芯片中的互连线;Provide 1st to Nth chips, N≥1, pre-formed with a back connection structure and a hybrid bonding structure from the back of the chip, the back connection structure respectively connects the interconnection lines in the chip and the conductive bonds of the chip Bonding pads, and when N≥2, a hybrid bonding structure is also formed on the front side of the first to N-1th chips, and the conductive bonding pads on the front side are connected to the interconnection lines in the chip;
    利用混合键合结构,在底晶圆的各裸片上依次键合第n芯片,以实现芯片与裸片的互连,n从1至N且为自然数。Using the hybrid bonding structure, the n-th chip is sequentially bonded on each die of the bottom wafer to realize the interconnection between the chip and the die, and n is from 1 to N and is a natural number.
  2. 根据权利要求1所述的制造方法,其特征在于,第N芯片的正面还形成有衬垫,所述衬垫连接至第N芯片中的互连线。The manufacturing method according to claim 1, wherein a pad is further formed on the front surface of the Nth chip, and the pad is connected to the interconnection line in the Nth chip.
  3. 根据权利要求1所述的制造方法,其特征在于,各芯片通过晶圆级测试。The manufacturing method of claim 1, wherein each chip passes a wafer-level test.
  4. 根据权利要求1所述的制造方法,其特征在于,所述导电键合垫的材料为铜,所述介质键合层的材料包括氧化硅、NDC和/或他们的组合。The manufacturing method according to claim 1, wherein the material of the conductive bonding pad is copper, and the material of the dielectric bonding layer includes silicon oxide, NDC, and/or a combination thereof.
  5. 根据权利要求1-4中任一项所述的制造方法,其特征在于,芯片的形成方法包括:The manufacturing method according to any one of claims 1 to 4, wherein the method for forming the chip comprises:
    提供形成有芯片的待键合晶圆;Provide wafers to be bonded with chips formed;
    在待键合晶圆的正面键合载片;Bond the carrier on the front side of the wafer to be bonded;
    以所述载片为支撑晶圆,在所述待键合晶圆的背面形成背连线结构以及混合键合结构;Using the carrier as a supporting wafer to form a back connection structure and a hybrid bonding structure on the back of the wafer to be bonded;
    去除载片;Remove the slide
    进行待键合晶圆的切割,以获得键合用的芯片。The wafer to be bonded is cut to obtain chips for bonding.
  6. 一种键合结构,其特征在于,包括:A bonding structure, characterized in that it comprises:
    底晶圆,所述底晶圆具有阵列排布的裸片,所述裸片表面上形成有混合键合结构,混合键合结构包括介质键合层以及导电键合垫;A bottom wafer, the bottom wafer has dies arranged in an array, a hybrid bonding structure is formed on the surface of the dies, and the hybrid bonding structure includes a dielectric bonding layer and a conductive bonding pad;
    第1至第N芯片,N≥1且为自然数,所述芯片的背面上形成有背连线结构和混合键合结构,所述背连线结构分别连接芯片中的互连线以及导电键合垫,且当N≥2时,第1至第N-1芯片的正面还形成有混合键合结构且正面的导电键合垫连接至芯片中的互连线,各所述芯片利用混合键合结构依次堆叠键合在裸片之上。The first to Nth chips, N≥1 and a natural number, a back wiring structure and a hybrid bonding structure are formed on the back of the chip, and the back wiring structure is connected to the interconnection lines and conductive bonding in the chip, respectively When N≥2, the hybrid bonding structure is also formed on the front side of the first to N-1th chips, and the conductive bonding pad on the front side is connected to the interconnection line in the chip, and each of the chips uses hybrid bonding The structure is sequentially stacked and bonded on the die.
  7. 根据权利要求6所述的结构,其特征在于,第N芯片的正面还形成有衬垫,所述衬垫连接至第N芯片中的互连线。7. The structure according to claim 6, wherein a pad is further formed on the front surface of the Nth chip, and the pad is connected to the interconnection line in the Nth chip.
  8. 根据权利要求6所述的结构,其特征在于,各芯片通过晶圆级测试。7. The structure of claim 6, wherein each chip passes a wafer level test.
  9. 根据权利要求6所述的结构,其特征在于,所述导电键合垫的材料为铜,所述介质键合层的材料包括氧化硅、NDC和/或他们的组合。The structure according to claim 6, wherein the material of the conductive bonding pad is copper, and the material of the dielectric bonding layer includes silicon oxide, NDC, and/or a combination thereof.
PCT/CN2020/081525 2019-12-30 2020-03-27 Bonding structure and fabrication method therefor WO2021134940A1 (en)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
CN201911403367.7 2019-12-30
CN201911403367.7A CN111106022A (en) 2019-12-30 2019-12-30 Bonding structure and manufacturing method thereof

Publications (1)

Publication Number Publication Date
WO2021134940A1 true WO2021134940A1 (en) 2021-07-08

Family

ID=70424793

Family Applications (1)

Application Number Title Priority Date Filing Date
PCT/CN2020/081525 WO2021134940A1 (en) 2019-12-30 2020-03-27 Bonding structure and fabrication method therefor

Country Status (2)

Country Link
CN (1) CN111106022A (en)
WO (1) WO2021134940A1 (en)

Families Citing this family (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN111933533B (en) * 2020-08-17 2021-06-04 长江存储科技有限责任公司 Semiconductor package structure and manufacturing method thereof
CN112420826B (en) * 2020-11-20 2022-09-20 成都挚信电子技术有限责任公司 Vertical pHEMT transistor structure and switch chip
CN114628262A (en) * 2020-12-10 2022-06-14 武汉新芯集成电路制造有限公司 Method for manufacturing semiconductor device
CN114628241A (en) * 2020-12-10 2022-06-14 武汉新芯集成电路制造有限公司 Chip bonding method

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN1708840A (en) * 2002-12-20 2005-12-14 国际商业机器公司 Three-dimensional device fabrication method
CN101026102A (en) * 2006-01-24 2007-08-29 三星电子株式会社 Stacked chip package using warp-proof insulative material and method of manufacturing the same
CN104916619A (en) * 2014-03-14 2015-09-16 株式会社东芝 Semiconductor device and manufacturing method thereof
US20170141071A1 (en) * 2015-11-17 2017-05-18 Electronics And Telecommunications Research Institute Method of fabricating a semiconductor package
CN109192718A (en) * 2018-08-28 2019-01-11 武汉新芯集成电路制造有限公司 More wafer bonding structures and bonding method

Family Cites Families (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7354798B2 (en) * 2002-12-20 2008-04-08 International Business Machines Corporation Three-dimensional device fabrication method
CN107359129B (en) * 2017-06-14 2019-01-25 武汉新芯集成电路制造有限公司 A kind of bonding structure production method and bonding structure for multilayer bonding stacking
CN110379799B (en) * 2019-07-18 2020-04-03 武汉新芯集成电路制造有限公司 Chip structure, wafer structure and manufacturing method thereof

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN1708840A (en) * 2002-12-20 2005-12-14 国际商业机器公司 Three-dimensional device fabrication method
CN101026102A (en) * 2006-01-24 2007-08-29 三星电子株式会社 Stacked chip package using warp-proof insulative material and method of manufacturing the same
CN104916619A (en) * 2014-03-14 2015-09-16 株式会社东芝 Semiconductor device and manufacturing method thereof
US20170141071A1 (en) * 2015-11-17 2017-05-18 Electronics And Telecommunications Research Institute Method of fabricating a semiconductor package
CN109192718A (en) * 2018-08-28 2019-01-11 武汉新芯集成电路制造有限公司 More wafer bonding structures and bonding method

Also Published As

Publication number Publication date
CN111106022A (en) 2020-05-05

Similar Documents

Publication Publication Date Title
WO2021134940A1 (en) Bonding structure and fabrication method therefor
US11158607B2 (en) Wafer reconstitution and die-stitching
TWI399827B (en) Method of forming stacked dies
US8629553B2 (en) 3D integrated circuit device fabrication with precisely controllable substrate removal
US8298914B2 (en) 3D integrated circuit device fabrication using interface wafer as permanent carrier
JP5246831B2 (en) Electronic device and method of forming the same
JP5426417B2 (en) Semiconductor device and manufacturing method thereof
US20100314711A1 (en) 3d integrated circuit device having lower-cost active circuitry layers stacked before higher-cost active circuitry layer
US20130154112A1 (en) Method for Forming Isolation Trenches in Micro-Bump Interconnect Structures and Devices Obtained Thereof
CN111293109B (en) Bonding structure and manufacturing method thereof
US11107794B2 (en) Multi-wafer stack structure and forming method thereof
WO2021168948A1 (en) Bonding structure and manufacturing method therefor
CN104766806B (en) The method of wafer three-dimensional integration
TWI807331B (en) Semiconductor structure and manufacturing method thereof
WO2022099949A1 (en) First chip and wafer bonding method and chip stacking structure
CN114450785A (en) Multi-chip stacking package and manufacturing method
US20240153911A1 (en) Method for forming semiconductor device and semiconductor device fabricated thereby
CN104733437A (en) Three-dimensional integration method for wafers
CN117672826A (en) Packaging method
TW202410298A (en) Through-substrate vias with metal plane layers and methods of manufacturing the same
CN115224002A (en) Semiconductor die edge protection for semiconductor device assemblies and related systems and methods
JP2014239143A (en) Multilayer chip and formation method of the same

Legal Events

Date Code Title Description
121 Ep: the epo has been informed by wipo that ep was designated in this application

Ref document number: 20910829

Country of ref document: EP

Kind code of ref document: A1

NENP Non-entry into the national phase

Ref country code: DE

122 Ep: pct application non-entry in european phase

Ref document number: 20910829

Country of ref document: EP

Kind code of ref document: A1