WO2021074042A1 - Procédé de fabrication d'appareil et de dispositif de lithographie - Google Patents

Procédé de fabrication d'appareil et de dispositif de lithographie Download PDF

Info

Publication number
WO2021074042A1
WO2021074042A1 PCT/EP2020/078517 EP2020078517W WO2021074042A1 WO 2021074042 A1 WO2021074042 A1 WO 2021074042A1 EP 2020078517 W EP2020078517 W EP 2020078517W WO 2021074042 A1 WO2021074042 A1 WO 2021074042A1
Authority
WO
WIPO (PCT)
Prior art keywords
radiation
energy
magnitude
euv
euv radiation
Prior art date
Application number
PCT/EP2020/078517
Other languages
English (en)
Inventor
Fei Liu
Zhuangxiong HUANG
Natalia Viktorovna DAVYDOVA
Igor Vladimirovich FOMENKOV
Hermanus Kreuwel
Peter HAVERMANS
Original Assignee
Asml Netherlands B.V.
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Asml Netherlands B.V. filed Critical Asml Netherlands B.V.
Priority to KR1020227010933A priority Critical patent/KR20220081981A/ko
Priority to CN202080072248.2A priority patent/CN114556225A/zh
Publication of WO2021074042A1 publication Critical patent/WO2021074042A1/fr

Links

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70008Production of exposure light, i.e. light sources
    • G03F7/70033Production of exposure light, i.e. light sources by plasma extreme ultraviolet [EUV] sources
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70008Production of exposure light, i.e. light sources
    • G03F7/7005Production of exposure light, i.e. light sources by multiple sources, e.g. light-emitting diodes [LED] or light source arrays
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/7055Exposure light control in all parts of the microlithographic apparatus, e.g. pulse length control or light interruption
    • G03F7/70558Dose control, i.e. achievement of a desired dose
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/708Construction of apparatus, e.g. environment aspects, hygiene aspects or materials
    • G03F7/7085Detection arrangement, e.g. detectors of apparatus alignment possibly mounted on wafers, exposure dose, photo-cleaning flux, stray light, thermal load

Definitions

  • the present disclosure relates to a lithographic apparatus and a method for manufacturing a device.
  • EUV radiation is electromagnetic radiation having a wavelength within the range of 5-20 nm, and may be produced using a plasma.
  • a radiation system for producing EUV radiation may include a laser for exciting a fuel (also called a target material) to provide the plasma, and a source collector apparatus for containing the plasma and collecting the EUV radiation.
  • the plasma may be created, for example, by directing a laser beam at a fuel, such as particles, e.g., liquid droplets, of a suitable material (e.g. tin), or a stream of a suitable gas or vapor, such as Xe gas or Li vapor.
  • the resulting plasma emits output radiation, e.g., EUV radiation, which is collected using a radiation collector.
  • the radiation collector may be a mirrored normal incidence radiation collector, which receives the radiation and focuses the radiation into a beam.
  • the source collector apparatus may include an enclosing structure or chamber arranged to provide a vacuum environment to support the plasma. Such a radiation system is typically termed a laser produced plasma (LPP) source.
  • LPP laser produced plasma
  • a lithographic apparatus is a machine that applies a desired pattern onto a substrate, usually onto a target portion of the substrate.
  • a lithographic apparatus can be used, for example, in the manufacture of integrated circuits (ICs).
  • a patterning device which is alternatively referred to as a mask or a reticle, may be used to generate a circuit pattern to be formed on an individual layer of the IC.
  • This pattern can be transferred onto a target portion (e.g. comprising part of, one, or several dies) on a substrate (e.g. a silicon wafer). Transfer of the pattern is typically via imaging onto a layer of radiation-sensitive material (resist) provided on the substrate.
  • a single substrate will contain a network of adjacent target portions that are successively patterned.
  • imaging may be performed using radiation having a short wavelength.
  • EUV radiation source providing EUV radiation within the range of 13-14 nm, for example. It has further been proposed that EUV radiation with a wavelength of less than 10 nm could be used, for example within the range of 5-10 nm such as 6.7 nm or 6.8 nm. Such radiation is termed extreme ultraviolet radiation or soft x-ray radiation.
  • the EUV radiation source In addition to the desired EUV radiation, the EUV radiation source generates non- EUV, out-of-band (such as deep ultraviolet (DUV)) radiation.
  • This out-of-band radiation may be transmitted to the substrate, and can negatively affect the resultant image as the resist may be sensitive to this out-of-band radiation. It is desirable to mitigate the effect of the out-of- band source radiation during a lithographic process.
  • a lithographic apparatus comprising a source, the source comprising an illumination system configured to condition a radiation beam, the radiation beam comprising both EUV radiation and non-EUV radiation and a controller adapted to control a dose of radiation delivered to a substrate by the illumination system at least partially on the basis of a ratio of a magnitude of energy of the non-EUV radiation to a magnitude of energy of the EUV radiation.
  • the non-EUV radiation may be DUV radiation.
  • the apparatus may further comprise a sensor, i.e., one or more sensors, arranged to measure a magnitude of energy of the DUV radiation. The sensor may be located to measure the magnitude of energy of the DUV radiation at the substrate.
  • the sensor may be located to measure the magnitude of energy of the DUV radiation at the illumination system.
  • the apparatus may include a module configured to infer a magnitude of energy of the DUV radiation from a plurality of operating parameters of the source.
  • the controller may be adapted to control a dose of radiation delivered to a substrate by the illumination system at least partially on the basis of a product of the ratio and a calibration factor.
  • a lithographic apparatus comprising an illumination system configured to condition a radiation beam, the radiation beam comprising both EUV radiation and non-EUV radiation, a first module for generating a first signal indicative of a magnitude of energy of the EUV radiation, a second module for generating a second signal indicative of a magnitude of energy of the non-EUV radiation, a third module configured to multiply the second signal by a calibration factor to obtain a third signal, a fourth module configured to add the first signal and the third signal to obtain a fourth signal, and a controller arranged to receive the fourth signal and adapted to control a dose of radiation delivered to a substrate by the radiation beam at least partially on the basis of a sum of a magnitude of energy of the EUV radiation and a product of a calibration factor and a magnitude of an energy of the non-EUV radiation.
  • the non-EUV radiation may be DUV radiation.
  • the second module may comprise a, i.e., at least one sensor arranged to measure a magnitude of energy of the non-EUV radiation.
  • the sensor may be located to measure the magnitude of energy of the non-EUV radiation at the substrate.
  • the sensor may be located to measure the magnitude of energy of the non-EUV radiation at the illumination system.
  • the second module may be configured to infer a magnitude of DUV radiation from a plurality of operating parameters of the source.
  • a method of manufacturing a device comprising using a radiation source including an illumination system to generate a radiation beam, the radiation beam comprising both EUV radiation and non- EUV radiation and controlling a dose of radiation delivered to a substrate by the radiation beam at least partially on the basis of a ratio of a magnitude of energy of the non-EUV radiation to a magnitude of energy of the EUV radiation.
  • the non-EUV radiation may be DUV radiation.
  • the method may include measuring the magnitude of energy of the DUV radiation at the substrate or at the illumination system.
  • the method may include inferring the magnitude of energy of the DUV radiation from a plurality of operating parameters of the source.
  • Controlling a dose of radiation delivered to a substrate by the radiation beam at least partially on the basis of a ratio of a magnitude of energy of the DUV radiation to a magnitude of energy of the EUV radiation may be performed at least partially on the basis of a product of the ratio and a calibration factor.
  • a method of manufacturing a device comprising generating a radiation beam, the radiation beam comprising both EUV radiation and non-EUV radiation, generating a first signal indicative of a magnitude of energy of the EUV radiation, generating a second signal indicative of a magnitude of energy of the non-EUV radiation, multiplying the second signal by a calibration factor to obtain a third signal, adding the first signal and the third signal to obtain a fourth signal, and supplying the fourth signal to a dose controller, the dose controller controlling a dose of radiation delivered to a substrate by the radiation beam at least partially on the basis of a sum of a magnitude of energy of the EUV radiation and a product of a calibration factor and a magnitude of an energy of the non-EUV radiation.
  • the non-EUV radiation may be DUV radiation.
  • the method may include sensing a magnitude of energy of the non-EUV radiation which may comprise measuring the magnitude of energy of the non-EUV radiation at the substrate.
  • Generating a second signal indicative of a magnitude of energy of the non- EUV radiation may comprise inferring a magnitude of the non-EUV radiation from a plurality of operating parameters of the source.
  • FIG. 1 depicts schematically a lithographic apparatus having reflective projection optics.
  • FIG. 2 is a more detailed view of the apparatus of FIG. 1.
  • FIG. 3 is a schematic depiction of a dose control arrangement according to an aspect of an embodiment.
  • FIG. 4 is a flowchart depicting a method of operation of the embodiment of FIG. 3 according to an aspect of an embodiment.
  • FIG. 5 is a schematic depiction of a dose control arrangement according to an aspect of an embodiment.
  • FIG. 6 is a flowchart depicting a method of operation of the embodiment of FIG. 5 according to an aspect of an embodiment.
  • FIG. 7 is a flowchart showing a method of determining a calibration constant according to an aspect of an embodiment.
  • FIG. 1 schematically depicts a lithographic apparatus 100 including a source module SO according to one embodiment of the invention.
  • the apparatus comprises: an illumination system (illuminator) IL configured to condition a radiation beam B (e.g. EUV radiation), a support structure (e.g. a mask table) MT constructed to support a patterning device (e.g. a mask or a reticle) MA and connected to a first positioner PM configured to accurately position the patterning device; a substrate table (e.g. a wafer table) WT constructed to hold a substrate (e.g. a resist-coated wafer) W and connected to a second positioner PW configured to accurately position the substrate; and a projection system (e.g.
  • a radiation beam B e.g. EUV radiation
  • a support structure e.g. a mask table
  • MT constructed to support a patterning device (e.g. a mask or a reticle) MA and connected to a first positioner PM configured to
  • the illumination system may include various types of optical components, such as refractive, reflective, magnetic, electromagnetic, electrostatic or other types of optical components, or any combination thereof, for directing, shaping, or controlling radiation.
  • the support structure MT holds the patterning device MA in a manner that depends on the orientation of the patterning device, the design of the lithographic apparatus, and other conditions, such as for example whether or not the patterning device is held in a vacuum environment.
  • the support structure can use mechanical, vacuum, electrostatic or other clamping techniques to hold the patterning device.
  • the support structure may be a frame or a table, for example, which may be fixed or movable as required. The support structure may ensure that the patterning device is at a desired position, for example with respect to the projection system.
  • patterning device should be broadly interpreted as referring to any device that can be used to impart a radiation beam with a pattern in its cross-section such as to create a pattern in a target portion of the substrate.
  • the pattern imparted to the radiation beam may correspond to a particular functional layer in a device being created in the target portion, such as an integrated circuit.
  • the patterning device may be transmissive or reflective.
  • Examples of patterning devices include masks, programmable mirror arrays, and programmable LCD panels.
  • Masks are well known in lithography, and include mask types such as binary, alternating phase-shift, and attenuated phase-shift, as well as various hybrid mask types.
  • An example of a programmable mirror array employs a matrix arrangement of small mirrors, each of which can be individually tilted so as to reflect an incoming radiation beam in different directions. The tilted mirrors impart a pattern in a radiation beam which is reflected by the mirror matrix.
  • the projection system may include various types of optical components, such as refractive, reflective, magnetic, electromagnetic, electrostatic or other types of optical components, or any combination thereof, as appropriate for the exposure radiation being used, or for other factors such as the use of a vacuum. It may be desired to use a vacuum for EUV radiation since other gases may absorb too much radiation. A vacuum environment may therefore be provided to the whole beam path with the aid of a vacuum wall and vacuum pumps.
  • the apparatus is of a reflective type (e.g. employing a reflective mask).
  • the lithographic apparatus may be of a type having two (dual stage) or more substrate tables (and/or two or more mask tables). In such “multiple stage” machines the additional tables may be used in parallel, or preparatory steps may be carried out on one or more tables while one or more other tables are being used for exposure.
  • the illuminator IL receives an extreme ultra violet radiation beam from the source module SO.
  • Methods to produce EUV light include, but are not necessarily limited to, converting a material into a plasma state that has at least one element, e.g., xenon, lithium or tin, with one or more emission lines in the EUV range.
  • LPP laser produced plasma
  • the required plasma can be produced by irradiating a fuel, such as a droplet, stream or cluster of material having the required line-emitting element, with a laser beam.
  • the source module SO may be part of an EUV radiation system including a laser, not shown in Figure 1, for providing the laser beam exciting the fuel.
  • the resulting plasma emits output radiation, e.g., EUV radiation, which is collected using a radiation collector, disposed in the source module.
  • output radiation e.g., EUV radiation
  • the laser and the source module may be separate entities, for example when a C02 laser is used to provide the laser beam for fuel excitation.
  • the radiation beam is passed from the laser to the source module with the aid of a beam delivery system comprising, for example, suitable directing mirrors and/or a beam expander.
  • a beam delivery system comprising, for example, suitable directing mirrors and/or a beam expander.
  • An EUV source may be an integral part of the source module, for example when the EUV source is a discharge produced plasma EUV generator, often termed as a DPP source.
  • the radiation beam B is incident on the patterning device (e.g., mask) MA, which is held on the support structure (e.g., mask table) MT, and is patterned by the patterning device. After being reflected from the patterning device (e.g. mask) MA, the radiation beam B passes through the projection system PS, which focuses the beam onto a target portion C of the substrate W. With the aid of the second positioner PW and position sensor PS2 (e.g. an interferometric device, linear encoder or capacitive sensor), the substrate table WT can be moved accurately, e.g. so as to position different target portions C in the path of the radiation beam B.
  • the second positioner PW and position sensor PS2 e.g. an interferometric device, linear encoder or capacitive sensor
  • the first positioner PM and another position sensor PS 1 can be used to accurately position the patterning device (e.g. mask) MA with respect to the path of the radiation beam B.
  • Patterning device (e.g. mask) MA and substrate W may be aligned using mask alignment marks Ml, M2 and substrate alignment marks PI, P2.
  • the depicted apparatus could be used in at least one of the following modes: 1. In step mode, the support structure (e.g. mask table) MT and the substrate table WT are kept essentially stationary, while an entire pattern imparted to the radiation beam is projected onto a target portion C at one time (i.e. a single static exposure).
  • the substrate table WT is then shifted in the X and/or Y direction so that a different target portion C can be exposed.
  • the support structure (e.g. mask table) MT and the substrate table WT are scanned synchronously while a pattern imparted to the radiation beam is projected onto a target portion C (i.e. a single dynamic exposure).
  • the velocity and direction of the substrate table WT relative to the support structure (e.g. mask table) MT may be determined by the (de- )magnification and image reversal characteristics of the projection system PS.
  • the support structure e.g.
  • mask table MT is kept essentially stationary holding a programmable patterning device, and the substrate table WT is moved or scanned while a pattern imparted to the radiation beam is projected onto a target portion C.
  • a pulsed radiation source is employed and the programmable patterning device is updated as required after each movement of the substrate table WT or in between successive radiation pulses during a scan.
  • This mode of operation can be readily applied to maskless lithography that utilizes programmable patterning device, such as a programmable mirror array of a type as referred to above.
  • FIG. 2 shows an embodiment of the lithographic apparatus in more detail, including a radiation system 42, the illumination system IL, and the projection system PS.
  • the radiation system 42 as shown in Figure 2 is of the type that uses a laser-produced plasma as a radiation source.
  • EUV radiation may be produced using the laser to convert a gas, vapor, or liquid (continuous stream or droplets), for example Xe gas, Li vapor or Sn vapor or liquid droplets in which a very hot plasma is created to emit radiation in the EUV range of the electromagnetic spectrum.
  • the very hot plasma is created by causing an at least partially ionized plasma by, for example, optical excitation using C02 laser light.
  • Partial pressures of, for example, 10 Pa of Xe, Li, Sn vapor or any other suitable gas or vapor may be required for efficient generation of the radiation.
  • Sn is used to create the plasma in order to emit the radiation in the EUV range.
  • the radiation system 42 embodies the function of source SO in the apparatus of FIG.
  • Radiation system 42 comprises a source chamber 47, in this embodiment not only substantially enclosing a source of EUV radiation, but also collector 50 which, in the example of FIG. 2, is a normal-incidence collector, for instance a multi-layer mirror.
  • a laser system 61 is constructed and arranged to provide a laser beam 63 which is delivered by a beam delivering system 65 through an aperture 67 provided in the collector 50.
  • the radiation system includes a target material 69, such as Sn or Xe, which is supplied by target material supply 71.
  • the beam delivering system 65 in this embodiment, is arranged to establish a beam path focused substantially upon a desired plasma formation position 73.
  • the target material 69 which may also be referred to as fuel, is supplied by the target material supply 71 in the form of droplets.
  • the laser beam 63 impinges on the droplet and an EUV radiation-emitting plasma forms inside the source chamber 47.
  • the fuel may be for example xenon (Xe), tin (Sn) or lithium (Li). These create a highly ionized plasma with electron temperatures of 30 to 50 eV.
  • Higher energy EUV radiation may be generated with other fuel materials, for example Tb and Gd.
  • the energetic radiation generated during de-excitation and recombination of these ions includes the wanted EUV which is emitted from the plasma at position 73.
  • the plasma formation position 73 and the aperture 52 are located at first and second focal points of collector 50, respectively and the EUV radiation is focused by the normal-incidence collector mirror 50 onto the intermediate focus point IF.
  • the beam of radiation emanating from the source chamber 47 traverses the illumination system IL via so-called normal incidence reflectors 53, 54, as indicated in Figure 2 by the radiation beam 56.
  • the normal incidence reflectors direct the beam 56 onto a patterning device (e.g. reticle or mask) positioned on a support (e.g. reticle or mask table)
  • a patterned beam 57 is formed, which is imaged by projection system PS via reflective elements 58, 59 onto a substrate carried by wafer stage or substrate table WT. More elements than shown may generally be present in illumination system IL and projection system PS. [0044] For example there may be one, two, three, four or even more reflective elements present than the two elements 58 and 59 shown in Figure 2. Radiation collectors similar to radiation collector 50 are known from the prior art.
  • reference axes X, Y and Z may be defined for measuring and describing the geometry and behavior of the apparatus, its various components, and the radiation beams 55, 56, 57.
  • a local reference frame of X, Y and Z axes may be defined.
  • the Z axis broadly coincides with the direction of optical axis O at a given point in the system, and is generally normal to the plane of a patterning device (reticle) MA and normal to the plane of substrate W.
  • the X axis coincides broadly with the direction of fuel stream (69, described below), while the Y axis is orthogonal to that, pointing out of the page as indicated.
  • the X axis is generally transverse to a scanning direction aligned with the Y axis.
  • the X axis points out of the page, again as marked.
  • the plasma In addition to the wanted EUV radiation, the plasma produces other wavelengths of radiation, for example in the visible, UV and DUV range. There is also IR (infrared) radiation present from the laser beam 63.
  • the non- EUV wavelengths are not wanted in the illumination system IL and projection system PS and various measures may be deployed to block the non- EUV radiation.
  • a transmissive spectral filter may be applied upstream of the virtual source point IF. It will be understood by one of ordinary skill in the art that the transmissive SPF may alternatively be provided downstream of the virtual source point IF. Alternatively or in addition to such a filter, filtering functions can be integrated into other optics.
  • Filters for DUV and other unwanted wavelengths may thus be provided at one or more locations along the paths of beams 55, 56, 57, within source module (radiation system 42), the illumination system IL and/or projection system PS and/or above wafer table (WT). Despite these measures there may still be residual DUV radiation in the radiation beam.
  • a droplet generator or target material supply 71 is arranged within the source chamber 47, to fire a stream of droplets towards the plasma formation position 73.
  • laser beam 63 may be delivered in a synchronism with the operation of target material supply 71, to deliver impulses of radiation to turn each fuel droplet into a plasma.
  • the frequency of delivery of droplets may be several kilohertz, or even several tens or hundreds of kilohertz.
  • laser beam 63 may be delivered by a laser system 61 in at least two pulses: a pre pulse (PP)with limited energy is delivered to the droplet before it reaches the plasma location, in order to expand the fuel material to a disk target or vaporize the fuel material into a small cloud, and then a main pulse (MP) of laser energy is delivered to the cloud at the desired location, to generate the plasma.
  • PP pre pulse
  • MP main pulse
  • the diameter of the plasma is about 300um to 800um.
  • a trap 72 is provided on the opposite side of the enclosing structure 47, to capture fuel that is not, for whatever reason, turned into plasma.
  • Laser system 61 is may be for example of the MOP A (Master Oscillator Power Amplifier) type.
  • Such a laser system 61 includes a “master” laser or “seed” laser, followed by a power amplifier system PA, for firing a main pulse of laser energy towards an expanded disk target or droplet cloud, and a pre pulse laser for firing a pre pulse of laser energy towards a droplet.
  • a beam delivery system 65 is provided to deliver the laser energy 63 into the source chamber 47.
  • the pre-pulse element of the laser energy may be delivered by a separate laser.
  • Laser system 61, target material supply 71 and other components can be controlled by a controller (not shown separately).
  • the controller performs many control functions, and has sensor inputs and control outputs for various elements of the system. Sensors may be located in and around the elements of radiation system 42, and optionally elsewhere in the lithographic apparatus.
  • the main pulse and the pre pulse are derived from a same laser.
  • the main pulse and the pre-pulse are derived from different lasers which are independent from each other but controlled to operate synchronously.
  • a contamination trap 80 of some sort may be provided between the plasma formation site 73 and optical elements of the beam delivery system 65.
  • both LPP and discharge produced plasma (DPP) EUV sources emit a broad spectrum of wavelengths, comprising the desired EUV radiation (at 13.5 nm) and other out- of-band wavelengths.
  • Out-of-band wavelengths in this context may comprise deep ultraviolet (DUV) radiation (at around 130 nm to 400 nm) and beyond.
  • DUV radiation is emitted from the low-density low-temperature part of the plasma when the target material being used is tin.
  • the DUV portion of the emitted light is a by-product of the EUV plasma emission and can, in principle, propagate through the illuminator and the projection optics to the wafer and affect imaging performance by contributing to the exposure in photoresist.
  • CAR chemically activated resist
  • the actual EUV imaging performance is affected adversely by the non-EUV out-of- band content in the spectrum.
  • This non-EUV part of the spectrum contains only wavelengths that are far too long to be able to resolve the features of interest at the mask (MA) on the wafer (W), and therefore only reduces the image contrast.
  • the imaging performance e.g., critical dimension uniformity (CDU), image placement
  • CDU critical dimension uniformity
  • OPC imaging and optical process correction
  • Parasitic DUV radiation propagating together with inband EUV radiation reaching wafers thus has an impact on the aerial images used to monitor and control the lithographic process.
  • DUV radiation also affects dose control, that is, the control of the amount of radiation supplied to the wafer during an exposure.
  • dose control that is, the control of the amount of radiation supplied to the wafer during an exposure.
  • This control is complicated by the fact that there are intrinsic drifts in beam characteristics in LPP EUV sources, such as drifts due to drive laser cold-hot thermal transition, main pulse / seed pulse laser degradation over time, drive laser gain command variation (from control modules), etc.
  • CE conversion efficiency
  • the imaging performance may be affected adversely by the variations in the sensitivity of the resist in cases where relative sensitivity of resist to non- EUV vs EUV depends on the type of resist. Controlling the overall effective dose, including the non-EUV component, creates the ability to mitigate the effects of wavelength-dependent resist sensitivity.
  • the net effective dose will drift if the DUV energy is permitted to drift.
  • the effective dose is a combination of in- band (EUV) energy and out-of-band (DUV) energy.
  • EUV in- band
  • DUV out-of-band
  • One approach to modeling the effective dose is as the sum of the EUV energy and some constant times the DUV energy. For some applications it is desirable to control (e.g., keep constant) this effective dose rather than just the EUV dose at the wafer level.
  • One option to control effective dose is to add a DUV/EUV ratio control loop to the dose control algorithm to make sure the DUV/EUV ratio is kept constant so that the effective dose is constant at the wafer level.
  • DE is effective dose
  • EEUV is the inband EUV energy
  • EDUV is the out-of-band DUV energy
  • K is a constant determined, for example, empirically or by simulations. Or, if
  • the effective dose can be controlled, for example, by controlling operation of the prepulse laser or main pulse beam size at the target (for example by controlling the pressure in the pressurized pre-pulse module).
  • numerical simulations can be used for modelling, calculating, or estimating EUV emission and non-EUV emission by hot dense plasmas.
  • a dose controller 310 includes a module 320 configured to control an EUV dose generated by an EUV source 300.
  • the amount of the EUV radiation is measured by a sensor 330 which generates an output that is applied as a feedback input to the dose controller 310.
  • an amount of DUV radiation is sensed by sensor 340 and is supplied to a control module 330 which acts as another control loop in the controller 310.
  • Control module 330 controls the effective dose on the basis of the DUV/EUV ratio.
  • An example of an EUV sensor is a sensor configured to measure power in the electromagnetic spectrum between, for example, 13.2- 13.8 nm, 13-14 nm, 10-15 nm, 5-20 nm, 10-30 nm, or other ranges of wavelength suitable for an EUV photolithographic process.
  • An example of an DUV sensor is a sensor configured to measure power in the electromagnetic spectrum in a range between, for example, approximately 14 nm, approximately 15 nm, approximately 30 nm, approximately 40 nm, approximately 50 nm, approximately 100 nm, approximately 130 nm, or approximately 200 nm (or other wavelengths relevant as lower bounds for out-of-band radiation in an EUV photolithographic process) and approximately 200 nm, approximately 250 nm, approximately 300 nm, approximately 350 nm, or approximately 400 nm, for example.
  • FIG. 4 is a flowchart describing a mode of operation of the system shown in FIG. 3.
  • a step S 100 the amount of EUV energy at the substrate is input to a dose controller.
  • a step SI 10 the amount of DUV energy at the substrate is input into the dose controller.
  • the dose controller controls total effective dose based on both inputs as contributions from both EUV energy and DUV energy.
  • the steps S100 and the steps SI 10 may occur concurrently.
  • a dose controller 310 includes a module 320.
  • the amount of the EUV radiation is measured by a sensor 330 which generates an output.
  • an amount of DUV radiation is sensed by a sensor 340.
  • the sensor 340 generates an output signal which is multiplied by a constant K in module 350 and then added to the signal from the EUV sensor 330 at a summing junction 360. The resulting signal is supplied to the control module 320.
  • FIG. 6 is a flowchart describing a mode of operation of the system shown in FIG. 5.
  • a signal is generated indicating the amount of EUV energy at the substrate.
  • the DUV energy signal is multiplied a constant K.
  • the multiplied DUV energy signal is summed with the EUV energy signal. The resulting sum is then supplied to a dose controller which executes dose control based on the sum.
  • the steps S200 and S210 may occur concurrently.
  • DUV energy could be obtained in any one of several ways.
  • one or more DUV sensors configured to measure the magnitude of the DUV energy at the wafer level or in the illumination system could be used. That is, a sensor operable to directly sense the spectral content of the out-of-band radiation may be provided on the lithographic tool. Such a sensor 90 is shown in FIG. 2. Sensor 90 can then be used to directly perform the spectral measurement of step SI 10 or step S210.
  • Such a sensor may be employed at wafer level (for example on wafer table WT), so that any spectral measurement takes into account the illumination and projection optics and any transmission effects before the wafer stage. However, the sensor may be placed elsewhere.
  • Such a sensor may be part of the lithographic apparatus, or may be a stand-alone sensor which is inserted (for example close to the wafer table WT) only when a test is to be executed at a location.
  • the sensor may operate in the spectral range of 10 to 400 nm, but is not restricted to this range.
  • the illumination characteristics can then be adapted based on the spectral data recorded using the sensor.
  • a DUV indicator may be derived by determining how DUV energy varies with measured parameters and then inferring the DUV energy from those parameters.
  • DUV energy is a function of a few key source operating parameters such as conversion efficiency, main pulse energy, main pulse beam size, and/or target size
  • the effective dose can be derived from measurements of these parameters. In this case, there is no need to measure DUV energy directly.
  • K may be a calibrated parameter, that is, one that is measured in a calibration procedure.
  • the calibration procedure could be one such as that shown in FIG. 7.
  • a wafer with a targeting line or contact hole structure is exposed while measuring EUV pulse energy and DUV pulse energy.
  • the exposed wafer is processed in a step S310.
  • CD may be measured as a function of wafer location, which may then be mapped to timing of exposure, and timing of EUV pulse energy and DUV pulse energy.
  • a mathematical fit such as a linear fit may be applied to the measurements of CD, EUV energy, and DUV energy, for example using the modelling discussed above with regard to effective dose, to obtain a value for K.
  • a Design-of- Experiment with multiple wafer exposures may be used to evaluate the factors that control the value of K to cancel out contributions to this calibration procedure from time-dependent contributors to CD drift (e.g. mirror heating, process impact, etc.).
  • the relative sensitivity of resist to non- EUV vs EUV radiation may depend on the type of resist.
  • the above calibration procedure creates the ability to mitigate the effects of wavelength-dependent resist sensitivity by selection of an appropriate calibration parameter.
  • Software functionalities of a computer system involve programming, including executable code, may be used to implement the above described methods.
  • the software code is executable by the general-purpose computer.
  • the code and possibly the associated data records are stored within a general-purpose computer platform.
  • the software may be stored at other locations and/or transported for loading into the appropriate general-purpose computer systems.
  • the embodiments discussed above involve one or more software products in the form of one or more modules of code carried by at least one machine-readable medium. Execution of such code by a processor of the computer system enables the platform to implement the catalog and/or software downloading functions, in essentially the manner performed in the embodiments discussed and illustrated herein.
  • the computer readable medium may be located in the scanner portion of the lithographic device, or located in the source portion of the lithographic device, or may be distributed between several systems of the lithographic device.
  • the computer readable medium could be a portable medium.
  • Non-volatile media include, for example, optical or magnetic disks, such as any of the storage devices in any computer(s) operating as one of the server platform, discussed above.
  • Volatile media include dynamic memory, such as main memory of such a computer platform.
  • Physical transmission media include coaxial cables; copper wire and fiber optics, including the wires that comprise a bus within a computer system.
  • Carrier- wave transmission media can take the form of electric or electromagnetic signals, or acoustic or light waves such as those generated during radio frequency (RF) and infrared (IR) data communications.
  • Common forms of computer-readable media therefore include, for example: a floppy disk, a flexible disk, hard disk, magnetic tape, any other magnetic medium, a CD- ROM, DVD, any other optical medium, less commonly used media such as punch cards, paper tape, any other physical medium with patterns of holes, a RAM, a PROM, and EPROM, a FLASH-EPROM, any other memory chip or cartridge, a carrier wave transporting data or instructions, cables or links transporting such a carrier wave, or any other medium from which a computer can read programming code and/or data.
  • Many of these forms of computer readable media may be involved in carrying one or more sequences of one or more instructions to a processor for execution.
  • lithographic apparatus in the manufacture of ICs
  • the lithographic apparatus described herein may have other applications, such as the manufacture of integrated optical systems, guidance and detection patterns for magnetic domain memories, flat-panel displays, liquid-crystal displays (LCDs), thin-film magnetic heads, etc.
  • LCDs liquid-crystal displays
  • any use of the terms “wafer” or “die” herein may be considered as synonymous with the more general terms “substrate” or “target portion”, respectively.
  • the substrate referred to herein may be processed, before or after exposure, in for example a track (a tool that typically applies a layer of resist to a substrate and develops the exposed resist), a metrology tool and/or an inspection tool. Where applicable, the disclosure herein may be applied to such and other substrate processing tools. Further, the substrate may be processed more than once, for example in order to create a multi-layer IC, so that the term substrate used herein may also refer to a substrate that already contains multiple processed layers.
  • a lithographic apparatus comprising: a conditioning system configured to condition a radiation beam, the radiation beam comprising both EUV radiation and non-EUV radiation; and a controller adapted to control a dose of radiation delivered to a substrate by the conditioning system at least partially on the basis of a ratio of a magnitude of energy of the non-EUV radiation to a magnitude of energy of the EUV radiation.
  • the lithographic apparatus of clause 2 comprising at least one sensor arranged to measure a magnitude of energy of the DUV radiation.
  • the lithographic apparatus of clause 2 further comprising a module configured to determine a magnitude of energy of the DUV radiation from a plurality of operating parameters of the source.
  • controller is adapted to control a dose of radiation delivered to a substrate by the conditioning system at least partially on the basis of a product of the ratio and a calibration factor.
  • a lithographic apparatus comprising: an conditioning system configured to condition a radiation beam, the radiation beam comprising both EUV radiation and non-EUV radiation; a first module configured for generating a first signal indicative of a magnitude of energy of the EUV radiation; a second module configured for generating a second signal indicative of a magnitude of energy of the non-EUV radiation; a third module configured to multiply the second signal by a calibration factor to obtain a third signal; a fourth module configured to add the first signal and the third signal to obtain a fourth signal; and a controller arranged to receive the fourth signal and adapted to control a dose of radiation delivered to a substrate by the radiation beam at least partially on the basis of a sum of a magnitude of energy of the EUV radiation and a product of a calibration factor and a magnitude of an energy of the non-EUV radiation.
  • non-EUV radiation is DUV radiation and the controller is adapted to control a dose of radiation delivered to a substrate by the conditioning system at least partially on the basis of a sum of a magnitude of energy of the EUV radiation and a product of a calibration factor and a magnitude of an energy of the DUV radiation.
  • a method of manufacturing a device comprising: generating a radiation beam comprising both EUV radiation and non-EUV radiation; and controlling a dose of radiation delivered to a substrate by the radiation beam at least partially on the basis of a ratio of a magnitude of energy of the non-EUV radiation to a magnitude of energy of the EUV radiation.
  • non-EUV radiation is DUV radiation and controlling a dose of radiation delivered to a substrate by the radiation beam at least partially on the basis of a ratio of a magnitude of energy of the non-EUV radiation to a magnitude of energy of the EUV radiation comprises controlling a dose of radiation delivered to a substrate by the radiation beam at least partially on the basis of a ratio of a magnitude of energy of the DUV radiation to a magnitude of energy of the EUV radiation.
  • the method of clausel6 comprising sensing a magnitude of energy of the DUV radiation.
  • sensing a magnitude of energy of the DUV radiation comprises measuring the magnitude of energy of the DUV radiation at the substrate.
  • sensing a magnitude of energy of the DUV radiation comprises measuring the magnitude of energy of the DUV radiation at the conditioning system.
  • a method of manufacturing a device comprising: generating a radiation beam using a radiation source, the radiation beam comprising both EUV radiation and non-EUV radiation; generating a first signal indicative of a magnitude of energy of the EUV radiation; generating a second signal indicative of a magnitude of energy of the non-EUV radiation; multiplying the second signal by a calibration factor to obtain a third signal; adding the first signal and the third signal to obtain a fourth signal; and supplying the fourth signal to a dose controller, the dose controller controlling a dose of radiation delivered to a substrate by the radiation beam at least partially on the basis of a sum of a magnitude of energy of the EUV radiation and a product of a calibration factor and a magnitude of an energy of the non-EUV radiation.
  • sensing a magnitude of energy of the non-EUV radiation comprises measuring the magnitude of energy of the non-EUV radiation at the substrate.
  • sensing a magnitude of energy of the non-EUV radiation comprises measuring the magnitude of energy of the non-EUV radiation at the conditioning system.
  • a method comprising: receiving a first signal indicative of a power of in-band EUV radiation in a radiation beam comprising EUV radiation; receiving a second signal indicative of a power of out-of-band radiation in the radiation beam; generating a third signal, wherein the third signal is based on the first and second signals; and supplying the third signal to a dose controller, the dose controller configured to control a power of the radiation beam based at least in part on the third signal.
  • a tangible nontransitory computer-readable medium having encoded thereon instructions executable by a processor to perform a method comprising: receiving a first signal indicative of a power of in-band EUV radiation in a radiation beam comprising EUV radiation; receiving a second signal indicative of a power of out-of-band radiation in the radiation beam; generating a third signal, wherein the third signal is based on the first and second signals; and supplying the third signal to a dose controller, the dose controller configured to control a power of the radiation beam based at least in part on the third signal.

Landscapes

  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • Plasma & Fusion (AREA)
  • Health & Medical Sciences (AREA)
  • Environmental & Geological Engineering (AREA)
  • Epidemiology (AREA)
  • Public Health (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)
  • Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)

Abstract

La présente invention concerne un procédé de fabrication d'appareil et de dispositif de lithographie dans lequel une quantité de rayonnement hors bande provenant d'une source de rayonnement EUV tel qu'un rayonnement DUV qui atteint un substrat est prise en compte dans un dispositif de commande de dose pour fournir une commande de dose basée sur une dose efficace réelle et pour fournir une meilleure commande des effets du rayonnement hors bande, sur des résines photosensibles et en imagerie, par exemple, et en particulier une meilleure commande des effets sur les performances d'imagerie qui sont dus à des variations de la sensibilité dépendant de la longueur d'onde de la résine photosensible en fonction du type de résine photosensible.
PCT/EP2020/078517 2019-10-15 2020-10-09 Procédé de fabrication d'appareil et de dispositif de lithographie WO2021074042A1 (fr)

Priority Applications (2)

Application Number Priority Date Filing Date Title
KR1020227010933A KR20220081981A (ko) 2019-10-15 2020-10-09 리소그래피 장치 및 디바이스 제조 방법
CN202080072248.2A CN114556225A (zh) 2019-10-15 2020-10-09 光刻设备和器件制造方法

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US201962915182P 2019-10-15 2019-10-15
US62/915,182 2019-10-15

Publications (1)

Publication Number Publication Date
WO2021074042A1 true WO2021074042A1 (fr) 2021-04-22

Family

ID=72840555

Family Applications (1)

Application Number Title Priority Date Filing Date
PCT/EP2020/078517 WO2021074042A1 (fr) 2019-10-15 2020-10-09 Procédé de fabrication d'appareil et de dispositif de lithographie

Country Status (4)

Country Link
KR (1) KR20220081981A (fr)
CN (1) CN114556225A (fr)
TW (1) TW202125087A (fr)
WO (1) WO2021074042A1 (fr)

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2015161948A1 (fr) * 2014-04-25 2015-10-29 Asml Netherlands B.V. Appareil lithographique et procédé de fabrication de dispositif
WO2019081364A1 (fr) * 2017-10-26 2019-05-02 Asml Netherlands B.V. Système de contrôle d'un plasma

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2015161948A1 (fr) * 2014-04-25 2015-10-29 Asml Netherlands B.V. Appareil lithographique et procédé de fabrication de dispositif
WO2019081364A1 (fr) * 2017-10-26 2019-05-02 Asml Netherlands B.V. Système de contrôle d'un plasma

Non-Patent Citations (1)

* Cited by examiner, † Cited by third party
Title
"In-line broadband spectrum metrology and feedback control system for EUV lithography", RESEARCH DISCLOSURE, KENNETH MASON PUBLICATIONS, HAMPSHIRE, UK, GB, vol. 657, no. 30, 1 January 2019 (2019-01-01), pages 29, XP007147124, ISSN: 0374-4353, [retrieved on 20181205] *

Also Published As

Publication number Publication date
KR20220081981A (ko) 2022-06-16
CN114556225A (zh) 2022-05-27
TW202125087A (zh) 2021-07-01

Similar Documents

Publication Publication Date Title
JP6082537B2 (ja) 放射源、放射源を制御する方法、リソグラフィ装置およびデバイスを製造する方法
JP5732392B2 (ja) 放射源およびリソグラフィ装置
US20160035605A1 (en) Support Structure, Method of Controlling the Temperature Of The Same, and Apparatuses Including the Same
CN110462522B (zh) 光刻系统、euv辐射源、光刻扫描设备和控制系统
NL2003777A (en) Laser device.
US9519224B2 (en) Lithographic apparatus and method
JP5885418B2 (ja) リソグラフィ装置、収差ディテクタ、およびデバイス製造方法
US10678140B2 (en) Suppression filter, radiation collector and radiation source for a lithographic apparatus; method of determining a separation distance between at least two reflective surface levels of a suppression filter
US20150334813A1 (en) Beam Delivery for EUV Lithography
JP4660513B2 (ja) リソグラフィ装置、放射源、および、放射源を制御する方法
WO2021074042A1 (fr) Procédé de fabrication d'appareil et de dispositif de lithographie
CN112041752A (zh) 用于测试诸如收集器反射镜的反射镜的系统及测试诸如收集器反射镜的反射镜的方法
CN114450636A (zh) 检测辐射束的光刻设备和方法
WO2015161948A1 (fr) Appareil lithographique et procédé de fabrication de dispositif
US11550227B2 (en) Projection system and lithographic apparatus comprising said projection system
NL2014093A (en) Lithographic apparatus and device manufacturing method.
NL2015136A (en) Radiation systems and associated methods.
NL2012718A (en) Radiation systems and associated methods.
WO2011072905A1 (fr) Appareil de lithographie et son procédé de fabrication
NL2008523A (en) Lithographic apparatus and method.

Legal Events

Date Code Title Description
121 Ep: the epo has been informed by wipo that ep was designated in this application

Ref document number: 20789962

Country of ref document: EP

Kind code of ref document: A1

NENP Non-entry into the national phase

Ref country code: DE

122 Ep: pct application non-entry in european phase

Ref document number: 20789962

Country of ref document: EP

Kind code of ref document: A1